Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group
  • Conference on Lasers and Electro-Optics
  • OSA Technical Digest (Optica Publishing Group, 1996),
  • paper JMB1

Directions in advanced IC lithography:New applications for lasers and coherent sources

Open Access Open Access

Abstract

After more than a decade of research, KrF excimer laser sources are finally being introduced into leading edge manufacturing equipment for integrated circuit lithography at 0.25-0.30 μm feature sizes. The current industry consensus is that the most likely path for advanced IC lithograpy over the coming decade will involve excimer laser sources and projection optical systems extending all the way down to about 0.13 μm feature size. Such technology will be used to manufacture 4 Gbit memory chips, microprocessors, and other integrated circuit systems with upwards of one billion transistors on a chip.

© 1996 Optical Society of America

PDF Article
More Like This
Lasers for Material Processing in Advanced Manufacturing Applications

Andrew C. Tam
PT2 Advanced Solid State Lasers (ASSL) 1996

Extreme ultraviolet lithography with laser plasma sources*

Glenn D. Kubiak, Daniel A. Tichenor, and Richard H. Stulen
TuP2 Conference on Lasers and Electro-Optics/Pacific Rim (CLEO/PR) 1995

Recent Advances in Extreme Ultraviolet Sources and Technology

Uwe Stamm, Imtiaz Ahmad, Frank Flohrer, Kai Gäbel, Sven Götze, Jürgen Kleinschmidt, Diethard Klöpfel, Peter Köhler, Vladimir Korobotchko, Jens Ringling, Guido Schriever, and
CThB1 Conference on Lasers and Electro-Optics (CLEO:S&I) 2002

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.