Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Improved thin film quality and photoluminescence of N-doped epitaxial germanium-on-silicon using MOCVD

Open Access Open Access

Abstract

This paper addresses one of the key issues in the scientific community of Si photonics: thin-film quality and the light emission properties of band-engineered n+ Germanium-on-Silicon (Ge-on-Si). Compared to the traditional delta doping approach, which was utilized in the first electrically-pumped Ge-on-Si lasers, we offer an n+ Ge-on-Si thin film with better material quality and higher carrier injection efficiency grown by metal-organic chemical vapor deposition (MOCVD). The impacts of thermal cycle annealing and Si substrate offcut on the thin film quality were investigated, including surface roughness, strain, threading dislocation density, Si-Ge interdiffusion, and dopant diffusion. It was revealed that: 1) MOCVD overcomes the outdiffision issue of n-type dopants by having the dopant peaks at the bottom of the Ge films; 2) the characterization of the light emission properties of these MOCVD n+ Ge-on-Si samples (1.0 × 1019 cm−3 doped) compared to delta-doped ultra-high vacuum chemical vapor deposition (UHVCVD) Ge, showing comparable photoluminescence (PL) spectral intensity at 1/4 of the doping level; 3) Detailed PL spectral analyses showed that population inversion from the direct gap transition has been achieved, and the injected electron density in the direct Γ valley is comparable to that of the delta-doped sample even though the n-type doping level is 75% less; and 4) Experimental evidences that Si-Ge interdiffusion has a much larger impact on PL intensity than threading dislocation density in the range of 108-109/cm3. These results indicate that MOCVD n+ Ge is very promising to reduce the threshold of Ge gain media on Si notably.

© 2019 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Germanium (Ge), as the most silicon (Si) compatible semiconductor, is playing an increasingly important role in large-scale dense Si photonic integration, such as in light sensing and modulation [1,2]. In the past few decades, researchers all over the world have invested extensive efforts in finding solutions to a Si-compatible lasing material system [39]. Among all of the candidates, bonding III-V material to Si, III-V quantum dot (QD) lasers grown on Ge-on-Si substrates, and Ge-on-Si lasers [1012] have been demonstrated to be the most promising on-chip light sources [13].

For Ge-on-Si lasers, important material requirements commonly include 1) very high n-type doping above 1×1019 cm−3, 2) tensile strain > 0.2%, 3) low threading dislocation density (TDD), 4) surface roughness in nm scale or lower, and 5) minimized Si-Ge interdiffusion at Ge/Si interfaces to prevent detrimental indirect gap behavior. These requirements are also closely related, and some can be traded-off such as the doping and strain requirements. Adding high concentrations of n-type dopants in Ge is crucial to occupy the electron energy states in the indirect conduction valleys (L valleys) [11]. Many efforts have been made in this aspect. Delta-doped layer and gas immersion laser doping were used to achieve up to 5×1019 cm−3 activation of P doping [1416]. The spin-on dopant process and multiple implantations were also successful in doping Ge up to 1×1020 cm−3 [1719]. Laser thermal annealing has been successfully employed to achieve a doping activation above 1020 cm−3 in implanted bulk Ge [20] and in-situ doped Ge-on-Si epilayers [21]. For doping from external sources such as the dopant diffusion from the delta-doping method, a high-temperature annealing step is commonly used to drive dopants in and activate them. Pellegrini et al. achieved an activated carrier concentration ranging from 2.5×1019 cm−3 to 2.1×1020 cm−3 by incorporating P dopants during the Ge growth followed by annealing [22]. For in-situ doped Ge, defect annealing such as thermal cycling of high and low temperature (HT/LT) is commonly used to reduce threading dislocation density (TDD) right after the Ge film epitaxy step. The high thermal budget associated with these steps is not desired, as it drives Si-Ge interdiffusion as well as dopant out-diffusion [23], and thus counteracts the efforts in bandgap engineering. Especially, interdiffusion is enhanced with n-type doping by a factor of 2 to 6 with P doping and 1.5 to 3 times with As doping in low to mid-1019 cm−3 range [2426].

Lee et al. studied the impact of high concentration arsenic (As) on Ge epitaxial film grown on Si (001) with 6° off-cut. The observation was that the TDD was reduced by at least one order of magnitude to < 5 × 106 /cm2, which was attributed to the enhancement in the velocity of the dislocation motion in an As-doped Ge film [27]. However, Ge films without HT/LT annealing were not studied. Recently, Zhou et al. systematically studied the doping and defect annealing impact on Ge film quality for P and As doped Ge on Si off-axis (100) wafers with a 6° off-cut angle towards [110] [24]. The finding was that P and As doping can reduce etching pits density (EPD) without the HT/LT defect annealing step. This suggests that n-type doped Ge films without defect annealing have lower defect density and minimized Si-Ge interdiffusion, which are very promising for Ge light emission [24]. These n-doped Ge films also have smooth morphology and tensile strain of about 0.16 to 0.2%.

However, photoluminescence (PL) of the n-doped Ge was not measured nor studied in detail, and Ge grown on on-axis Si (100) wafers were not studied either. Besides the material characterizations of n-Ge films on (100) Si substrates including the threading dislocation characterization by electron channeling contrast imaging (ECCI), this work studied the PL with detailed spectral analysis, which revealed that the population inversion from the direct gap transition has been achieved in these MOCVD grown Ge-on-Si wafers with better Ge quality and an n-type doping level around 1.0 × 1019 cm−3.

2. Experiment design, results and discussions

2.1 Structure design, growth and defect annealing

Six n-doped Ge-on-Si samples with 2 different annealing conditions (no annealing and 5 HT/LT thermal cycles) were investigated (Table 1). The schematic structure is shown in Fig. 1. The sample matrix was designed to study the impact of the defect annealing and the 6-degree offcut. There were more samples without annealing, as they were shown to have a higher PL than the annealed ones. The “MIT Ge control” sample was a delta-doped blanket n+ Ge film that led to the first electrically pump Ge laser when the same growth method was applied to selective growth followed by chemical mechanical polishing [12]. It serves as a benchmark for PL studies.

 figure: Fig. 1.

Fig. 1. Schematic diagrams of the 6 samples grown by MOCVD. X stands for As or P.

Download Full Size | PDF

Tables Icon

Table 1. Samples without defect annealing were noted as “NA” in the names. “5TC” means with five thermal cyclings for defect annealing; “off” means on 6° off-cut (100) Si, and “on” means on on-axis (100) Si.

2.2 Surface roughness characterization

Atomic Force Microscope (AFM) measurements were performed to obtain the surface roughness. The scanning area size was 5 µm × 5 µm. Several areas of each sample were measured. The roughness values of the samples are around 0.3 - 1.5 nm (Table 2), which depends on the area selected and calculation methods. Different dopant configurations or annealing procedures have no significant effect on the surface roughness. The smooth surface is suitable for applications in Ge lasers and as Ge transition layers between GaAs and Si.

Tables Icon

Table 2. Wafer offcut information and the average and RMS surface roughness of the samples

2.3 Strain measurements by X-ray diffraction

High-resolution X-ray diffraction (HRXRD) measurements were performed to measure the Ge strain levels using a PANalytical X’Pert PRO MRD with a triple-axis configuration. Strain values of the Ge layers are extracted by fitting with the PANalytical Epitaxy software package. The accuracy of this method is ±0.05% for strain measurement in Ge.

The (0 0 4) Ω–2θ scan results are shown in Fig. 2. The positions of the Ge peaks of all samples are under biaxial tensile strain in comparison with fully relaxed Ge peaks. For the unannealed samples, the associated degrees of relaxation R calculated by combining Eq. (3) and (4) from Ref. [28] are within the range from 104% to 105%. This means that the Ge layers are in a slightly tensile strained configuration (∼ 0.2%), which is in agreement with literature results. [2830]. The tensile strain is thermally induced to the Ge epilayer during cooling from high-temperature growth or thermal annealing steps to room temperature. In the temperature range of 20 °C to 650 °C, Ge has a coefficient of thermal expansion (CTE) of 5.8–8.1 ppm/°C larger than that Si, which is 2.6–4.1 ppm/°C [31].

 figure: Fig. 2.

Fig. 2. HRXRD results of the samples without annealing. The results show that the Ge layers are almost fully strained relaxed. For Ge-on-offcut-Si samples, to exclude the offcut impact on the XRD peaks, the incident X-ray directions were chosen to be perpendicular to the plane formed by the wafer surface normal and the (001) plane normal vectors.

Download Full Size | PDF

2.4 Threading dislocation density characterization

EPD has been widely used to characterize TDD of undoped Ge [32,33,34]. However, for doped Ge, the etching behaviors are quite different. The etch recipes that work for undoped Ge may not work for doped Ge, and the etch rate is also highly dependent on the doping level. ECCI has been proven to be a reliable, fast and non-destructive method for TDD characterizations [3536]. The atomic lattice plane bending associated with crystalline defects can significantly modulate the backscattered electron (BSE) intensity during ECCI measurement, thus leading to the so-called black-white contrast and revealing the crystalline defects as dots (threading dislocations (TD)) and lines (planar defects). TDD values measured by ECCI for undoped Ge were in good agreement with EPD and transmission electron microscopy (TEM) results [29]. Therefore, we believe that ECCI can overcome the weakness of EPD methods for doped Ge, and provide more accurate TDD measurements.

In this work, EPD measurements using a typical etch recipe for undoped Ge were performed for comparison with ECCI. Each sample was etched with an iodine (I2) solution. The I2 solution is a mixture of CH3COOH (100 ml), HNO3 (40 ml), HF (10 ml), I2 (30 mg) [33]. Optical microscope and scanning electron microscope (SEM) imaging were used to observe and count the etch pits (Fig. 3). The etch rates were approximately 40-80 nm/s, depending on the dopants and doping levels. After etching, roughly half of the top Ge layer (about 300 nm) was etched. 4 to 6 different positions on the Ge surfaces were imaged to calculate an average EPD value. For As and P doped 600 nm thick Ge films without annealing, EPD values are in the 105 to 106 cm−2 range, which are much lower than expected TDD values due to pit merging and the dependence on the etch recipe and doping [27].

 figure: Fig. 3.

Fig. 3. Optical images for EPD measurements. (a) Sample A-NA-on with 15 s etching; (b) Sample A-NA-off with 15 s etching; (c) Sample P-NA-on with 15s etching; and (d) Sample P-NA-off with 15s etching.

Download Full Size | PDF

The ECCI measurements were performed using the equipment and experimental conditions similar to Ref. [36]. We used a Thermo Scientific Apreo lab SEM from Thermo Fisher equipped with a retractable solid-state concentric backscatter (CBS) detector inserted below the pole piece of the electron column to collect the backscattered electrons. For optimum spatial resolution and signal-to-noise ratio, beam energies between (5–20) keV and beam currents ranging from (0.4–3.2) nA were used. A magnetic immersion lens has been used to allow for maximum spatial resolution. The selected diffraction vector was [2 2 0]. To obtain statistical relevant information, more than 400 threading dislocations are detected over large areas for each sample. ECCI micrographs are shown in Fig. 4.

 figure: Fig. 4.

Fig. 4. ECCI micrographs for (a) A-NA-off and (b) A-5TC-off.

Download Full Size | PDF

Table 3 compares the EPD and ECCI results on TDD characterization of the n-Ge films. It can be seen that the n-Ge films have TDD values above 1 × 108 cm−2. The difference between EPD and ECCI results might result from the high dependence of the etch rate on the doping level [37]. Since chemical etching involves a local exchange of electrons between the etching agent and surface bonds, the etching rate is doping-dependent [38]. TDD values measured by ECCI are approximately three orders of magnitude larger than the TDD measured by EPD in our samples. Defect annealing helped to reduce TDD P-doped Ge, but it was not very effective for As-doped Ge. Compared to the undoped Ge samples in our previous work [24], which had TDD values of about 1 × 108 and below 1 × 105 cm−2 measured by EPD, the n-Ge films have similar TDD before annealing, but three orders of magnitude higher TDD after the annealing. This is considered to be a result of high impurity in n-Ge, which retard dislocation movement [39].

Tables Icon

Table 3. Comparison between EPD and ECCI results.

2.5 Ge and dopant concentration profiling

Ge and dopant concentrations have a direct impact on the band structure and light emission properties, which are needed to understand the PL results discussed later. SIMS measurements were performed by Evans Analytical Group to obtain the Ge and dopant profiles. The samples were sputtered with 1 KeV Cs+ primary ion beam obliquely incident on the samples at 60° off the sample surface normal. The sputtering rate was calibrated using stylus profilometer measurements. The measurement uncertainty in ${x_{Ge}}$ is ± 1%.

As seen in Fig. 5(a), the interdiffusion is minimal for the unannealed samples. Dopants segregation peaks have been observed in the Ge/Si interfaces due to the higher density of defects [40]. The 6-degree offcut has little impact on the Ge or dopant profiles. Some differences can be seen in the concentration of the P and As segregation peaks concentrations at Ge/Si interfaces, which may be due to SIMS uncertainty at the interfaces. The SIMS data of annealed n-Ge/offcut-Si samples are in Fig. 5(b), where significant interdiffusion can be seen. According to the diffusion theory, diffusion and thus interdiffusion is isotropic for cubic crystals. Therefore, we expect that the Ge profiles of n-Ge/on-axis-Si to be the same as those of Ge/offcut-Si. Due to the interdiffusion, the sharp Ge/Si interfaces changed to thick alloy regions. In the full ${x_{Ge}}$ range, the effective interdiffusivity of Sample P (${\tilde{D}_P}$) is 1.5 to 3 times higher than that of sample A $({\tilde{D}_A})$, and ${\tilde{D}_A}$ is 1.5 to 2 times higher than that of Sample U $(\; {\tilde{D}_U})$ [24].

 figure: Fig. 5.

Fig. 5. Ge molar fraction and dopants (As/P) concentration profiles measured by SIMS. The Ge profiles are shifted laterally for easy comparison. The dopant profiles are also shifted laterally by the same length as their corresponding Ge profiles. Profiles in (a) n-Ge/Si without annealing; (b) in selected n-Ge/offcut-Si after annealing; (c) in n-Ge/Si without annealing showing the immunity to dopant outdiffusion near the sample surfaces; (d) in selected n-Ge/offcut-Si after annealing showing the immunity to dopant outdiffusion near the sample surfaces. In (c) and (d), the initial surface SIMS peaks exist, which are common for SIMS analysis due to the stabilization of the sputtering beams. The SIMS data in the first 10 nm are not true concentration data.

Download Full Size | PDF

Very importantly, as illustrated in Fig. 5(c) and 5(d), the As or P dopant concentration is uniform around 1.0 × 1019 cm−3 across the xGe > 0.8 region even after the HT/LT thermal cycling. In the xGe < 0.8 region, the dopant profile has redistributed due to the change of xSi and the movement of dislocations. The significant dopant out-diffusion problem seen in the delta-doping method used in the first Ge lasers in Ref. [12] has been effectively prevented. Some P/As diffusion from the P/As segregation peak can be observed, which helped to raise the P/As concentration close to the Ge/Si interfaces. The dopant peaks exist deeper in the structures due to interface segregation. This is different from the delta-doping approach, where the high concentration peaks are very close to the Ge surfaces. This may explain why the dopant out-diffusion was prevented in the MOCVD n-Ge/Si. Lower concentrations of P/As in Ge also helps suppress the out-diffusion of dopants since P/As diffusivity in Ge is proportional to the square of electron density [41]. Having the dopant peaks away from the Ge surfaces can also be beneficial to the light emission, as the Ge surfaces are normally the n-region in the p-n junctions [12]. Compared to the delta-doping approach, where very thin doping source layers are grown on top of Ge at low temperature with high surface roughness, the surface quality of the n-Ge thin film in the MOCVD growth may be significantly improved (Table 1).

2.6 PL Characterizations

The PL characterization results for the unannealed samples at room temperature are summarized in Fig. 6(a). Note that the PL peaks from the direct gap transition of the MOCVD samples are blue-shifted compared to the MIT Ge control. This is due to the lower dopant concentration of the samples, i.e., 1×1019 and 7×1018 cm−3 for the As and P doped samples, respectively, vs. 4×1019 cm−3 for the MIT Ge control sample as shown in Table 1. Higher doping leads to band narrowing [42], therefore the redshift in PL peak positions. On the other hand, the PL intensities of the P and As doped samples are similar to that of the MIT samples despite the lower n-doping concentration. This result suggests better material quality from the MOCVD grown samples compared to the delta-doped UHVCVD sample.

 figure: Fig. 6.

Fig. 6. (a) Room-temperature (22.5 °C on the temperature-controlled stage) PL spectra comparison for the unannealed P and As-doped samples (grown by MOCVD) and the reference n+ Ge control sample from MIT (UHVCVD, phosphorous delta-doped). The direct and indirect transitions are indicated in the figure. The small spikes at 1800-1900 nm are induced by water vapor absorption. (b) and (c) show the PL Intensity comparison between annealed and unannealed MOCVD samples with P and As doping respectively. (d) Peak PL intensity vs. TDD values measured by ECCI for unannealed and annealed samples. The error bars for the TDD are based on Table 2, while those for the PL intensity reflect the statistical variations across different regions on the same sample. (e) PL peak fitting of the P-NA-On sample using equation (1). The direct and indirect gaps, as well as the quasi-Fermi level of electrons, are indicated in the figure. (f) Direct gap PL intensity vs. injected carrier density in the direct Γ valley, showing a linear relation for the MOCVD samples. The injected carrier density and direct gap PL intensity of the P-NA-Off sample are similar to those of the MIT sample, although the doping level is lower by a factor of 5. This result indicates a higher injection efficiency in the MOCVD sample due to better material quality.

Download Full Size | PDF

Figure 6(b) and c compare the PL of the annealed and un-annealed samples. The blue shift observed on the annealed samples is mostly due to the Si-Ge interdiffusion, given that the dopant concentration did not decrease after annealing, as shown in Fig. 5. This is also evidenced by a decrease in the relative intensity PL of direct transitions vs. the indirect transitions for the annealed sample. The reduced overall PL intensity of the annealed sample can be explained by the fact that the diffusion of Si into Ge makes the material more indirect. Regarding the impact of the on-axis vs. off-axis growths on the PL intensity, there is no conclusive trend. For the P doped sample, the off-axis sample shows a notably stronger PL than the on-axis one, while for the As-doped sample the off-axis sample shows a slightly lower PL intensity than the on-axis one.

Figure 6(d) summarizes the impact of the annealing process and TDD (as measured by ECCI) on the PL intensity. Note that the PL intensity values are an order of magnitude lower for the annealed 5TC off-axis samples than the unannealed samples due to the Si-Ge interdiffusion that makes the material more indirect in the band structure. Interestingly, TDD does not show a clear trend of impact on the PL intensity in the range of TDD = 108-109 cm−2. This result indicates that both doping and Si interfusion play a more significant role in PL intensity compared to TDD for TDD > 108 cm−2. The TDD is still 5-10 times higher than typical intrinsic hetero-epitaxial Ge thin films on Si even after annealing. Further reducing the TDD without inducing Si-Ge interdiffusion will be a key factor to further improve the light emission properties of band-engineered Ge.

To evaluate the energy difference between the direct and indirect gaps and estimate the injected carrier density in the direct Γ valley, we perform PL peak fitting to deconvolve the direct and indirect PL peaks. The PL intensity at given photon energy is proportional to the corresponding joint density of states (DOS) and the occupation probability of electrons in the conduction band. For degenerate n+ Ge, Fermi-Dirac statistics have to be taken into account. In principle, the light hole (lh) and heavy hole (hh) bandgaps should be slightly different under biaxial tensile stress. However, because the difference is only ∼10 meV under 0.2% biaxial tensile strain [43], this splitting is smeared by thermal broadening and cannot be resolved in the PL spectra. Therefore, neglecting the splitting between lh and hh bands is a good approximation for the PL fitting. Since the joint DOS of the hh band transition is much larger than that of the lh band, the bandgaps thus derived would be closer to those associated with the hh transitions. In the case of Ge, we can further adopt a “flat band” approximation for the valence band [44] because the effective mass of hh is much larger than that of the electrons in the Γ (direct) and L (indirect) valleys. With these considerations, the PL spectra can be fit using the following equation assuming a parabolic band model:

$${I_{PL}}(hv) = {A_{dir}}\frac{{\sqrt {hv - E_g^\Gamma } }}{{1 + \exp \left( {\frac{{hv - {E_{fn}}}}{{{k_B}T}}} \right)}} + {B_{ind}}\frac{{\sqrt {hv - E_g^L} }}{{1 + \exp \left( {\frac{{hv - {E_{fn}}}}{{{k_B}T}}} \right)}} + {C_{background}}$$
In Eq. (1), ${\textrm{I}_{PL}}({hv} )\; $ is the PL intensity at the photon energy hv, kB is the Boltzmann constant, and T = 300 K is the temperature. The first term on the right-hand side is the contribution of the direct gap transition, while the second term is that of the indirect gap transition. Adir and Bind are proportional to the radiative recombination rate and the joint DOS for the direct and indirect transitions, respectively. Note that in heavily doped Ge the dopant impurities can notably assist the momentum conservation without phonon participation, leading to significant non-phonon indirect gap PL [45]. This factor tends to notably enhance Bind compared to intrinsic Ge. Cbackground is the background of the PL system; EFn is the quasi-Fermi level of electrons under optical excitation; EgΓ and EgL are the direct gap and indirect gap, respectively.

As shown in Fig. 6(e), Eq. (1) fits the experimental data very well. There are small deviations near the band edges because we did not consider the band tails in heavily doped Ge in Eq. 1. All the PL fitting achieved an R2 > 0.998. Table 4 summarizes the derived direct and indirect bandgaps, their energy differences, the corresponding quasi-Fermi level, and the injected electron density in the direct Γ valley for different samples derive from the PL fitting. The energy difference between the direct and the indirect band gaps of the MOCVD samples is ∼ 0.12 eV, indeed smaller than that of the relaxed Ge (0.14 eV), as expected for 0.2% tensile strained samples. The MIT reference sample shows a similar indirect gap as the P-NA-Off sample, yet the direct gap is notably smaller. This result indicates that the bandgap narrowing (BNG) due to n-type doping tends to further reduce the energy difference between the direct and indirect gaps, which has not been revealed in previous literature.

Tables Icon

Table 4. Energy difference between the direct and indirect bandgap for the different samples

Notably, the derived quasi-Fermi levels are higher than the Γ valley by 30-60 meV for all the samples, indicating that population inversion has been achieved for the direct gap transition under optical pumping. This is especially remarkable for the MOCVD samples considering that the n-doping concentration is less than the MIT reference sample by a factor of 4 to 5. Using the quasi-Fermi level and the effective mass at Γ valley, we further derived the injected electron density in the Γ valley (Table 4). Figure 6(f) further shows that the direct gap PL intensity increases linearly with the derived injected electron density in the Γ valley for the MOCVD samples, as expected. Therefore, the PL intensity is mainly determined by the carrier injection efficiency, which is most likely limited by point defects induced in the in-situ doping process considering that it is not clearly related to dislocation density. Although the MOCVD samples have a lower doping level, they tend to induce fewer point defects than delta-doping, thereby increasing the defect-limited carrier lifetime and enhancing the injection efficiency to achieve a similar level of PL intensity. Another important factor is Auger recombination lifetime, which significantly decreases with the increase of doping level. The Auger process can also be assisted by defects [46] to further reduces the non-radiative recombination lifetime in very heavily doped n+ Ge samples. Therefore, at a 4× higher n-type doping level, the injection efficiency in the delta-doped samples may also suffer from more significant Auger recombination than the MOCVD samples. These results indicate that MOCVD is promising to achieve longer non-radiative carrier lifetime towards lower threshold Ge lasers on Si.

3. Methods

3.1 Sample growth and defect annealing

All 6 samples were grown on 8-inches Czochrolski (CZ) Si wafers in a metal-organic chemical vapor deposition (MOCVD) tool and the model is CRIUS CCS from Aixtron. The Si substrates are either on-axis (100) Si or (100) Si with 6° off-cut towards the [110] direction. P and As doping levels were chosen as the highest concentration achievable in the epitaxial growth tool. The “MIT Ge control” sample was grown by an ultra-high vacuum CVD tool (UHVCVD) at MIT. The CVD tool model is Sirius 300 from Unaxis. The doping of the “MIT control sample” was conducted with a delta doping technology, where multiple atomically thin P layer was deposited on top of Ge and was later driven in with a high-temperature annealing step [12].

For the 6 samples grown by MOCVD, before a Si layer was deposited, the Si substrate was treated at 1050 ± 10 °C for 10 minutes under H2 ambient at 400 mbar. Then, a 600 nm Si layer was grown at 950 ± 10 °C under H2 ambient at 100 mbar. To improve the Ge film quality and reduce the threading dislocations caused by the Ge-Si lattice difference, a 100 nm Ge seeding layer was grown at 400 ± 10 °C under H2 ambient at 100 mbar (low-temperature Ge growth) on top of the Si layer. Finally, a Ge film about 600 nm was grown at 650 ± 10 °C under H2 ambient at 100 mbar (high-temperature Ge growth). We denote these layers as “the top Ge layers” in the discussion below to differentiate from the Ge seeding layers. Immediately after the growth procedure, some of the samples were annealed inside the growth tool while another half were left unannealed (NA) for comparison. Post-deposition thermal cycling was performed by repeating an H2 annealing cycle between low temperature (LT) and high temperature (HT) ranging from 600 °C to 850 °C for 5 times (5TC). Each annealing step was 10 minutes at HT and 5 minutes at LT to improve the quality of the Ge epitaxial film. The ramping rates for heating and cooling were around 1°C/s.

3.2 PL characterizations

The samples were characterized for PL using a Horiba LabRAM HR Evolution instrument with a Symphony II extended InGaAs detector. A 1064 nm laser was used on all the samples. The excitation power is ∼20 mW at the surface of the sample, and a 50X near infrared objective lens was used. The acquisition time was 6 seconds per spot. The grating used was 300 gr/mm. In order to reduce the noise, first measurement using “signal” mode was taken, followed by measurement with the same conditions and under dark condition. The data was then obtained using “signal minus dark” mode. This process was repeated for the measurement of each sample.

4. Conclusions

To summarize, this work studied thin film quality and photoluminescence of n-doped epitaxial Ge-on-Si grown by MOCVD. Surface roughness, strain, threading dislocation density, Si-Ge interdiffusion, dopant diffusion, and photoluminescence were characterized to study the impacts of defect annealing and Si substrate offcut on the Ge film quality and most importantly, the light emission properties. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films have a small tensile strain. Six-degree offcut was shown to have little impact. Defect annealing was shown to decrease the photoluminescence intensity greatly due to Si-Ge interdiffusion, which also causes a blue-shift in the emission wavelength. Detailed PL spectral analyses show that population inversion from the direct gap transition has also been achieved in unannealed MOCVD samples, and the injected electron density in the direct Γ valley is comparable to that of the delta-doped reference sample which leads to the first electrically pumped Ge-on-Si laser [12] under the same optical excitation conditions. These results may come from the better Ge surface quality and the elimination of the dopant out-diffusion problem, which indicate that MOCVD n+ Ge without defect annealing offers a better material quality and higher carrier injection efficiency than the delta doping approach, which is promising to achieve lower threshold Ge gain media on Si.

Funding

Natural Sciences and Engineering Research Council of Canada; National Research Foundation Singapore (NRF–CRP19–2017–01); National Science Foundation (DMR-1255066).

Acknowledgements

Dr. Mario Beaudoin from the Advanced Nanofabrication Facility at UBC is acknowledged for the training in HRXRD and EPD measurements and helpful discussions. Mr. Libor Strakos, Mr. Ondrej Machek, Drs. Tomas Vystavel and Richard Young from Thermo Fisher Scientific, Drs. Andreas Schulze, Clement Porret, Roger Loo at IMEC and Mr. Jacob Kabel at UBC are acknowledged for their help in ECCI measurements.

References

1. J. Michel, J. Liu, and L. C. Kimerling, “High-performance Ge-on-Si photodetectors,” Nat. Photonics 4(8), 527–534 (2010). [CrossRef]  

2. J. E. Roth, O. Fidaner, R. K. Schaevitz, Y. H. Kuo, T. I. Kamins, and J. S. Harris, “Optical modulator on silicon employing germanium quantum wells,” Opt. Express 15(9), 5851–5859 (2007). [CrossRef]  

3. D. Liang, G. Roelkens, R. Baets, and J. E. Bowers, “Hybrid integrated platforms for silicon photonics,” Materials 3(3), 1782–1802 (2010). [CrossRef]  

4. D. Liang and J. E. Bowers, “Recent progress in lasers on silicon,” Nat. Photonics 4(8), 511–517 (2010). [CrossRef]  

5. S. Fukatsu, N. Usami, Y. Shiraki, A. Nishida, and K. Nakagawa, “High-temperature operation of strained Si0.65Ge0.35/Si(111) p-type multiple-quantum-well light-emitting diode grown by solid source Si molecu-lar-beam epitaxy,” Appl. Phys. Lett. 63(7), 967–969 (1993). [CrossRef]  

6. R. Apetz, L. Vescan, A. Hartmann, C. Dieker, and H. Lüth, “Photoluminescence and electroluminescence of SiGe dots fabricated by island growth,” Appl. Phys. Lett. 66(4), 445–447 (1995). [CrossRef]  

7. D. C. Houghton, J.-P. Noël, and N. L. Rowell, “Electro-luminescence and photoluminescence from Si1−xGex alloys grown on (100) silicon by molecular beam epi-taxy,” Mater. Sci. Eng., B 9(1-3), 237–244 (1991). [CrossRef]  

8. S. I. Bozhevolnyi, V. S. Volkov, E. Devaux, J.-Y. Laluet, and T. W. Ebbesen, “Channel plasmon subwavelength waveguide components including interferometers and ring resonators,” Nature 440(7083), 508–511 (2006). [CrossRef]  

9. G. T. Reed, G. Mashanovich, F. Gardes, and D. Thomson, “Silicon optical modulators,” Nat. Photonics 4(8), 518–526 (2010). [CrossRef]  

10. H. Liu, T. Wang, Q. Jiang, R. Hogg, F. Tutu, et al., “Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate,” Nat. Photonics 5(7), 416–419 (2011). [CrossRef]  

11. J. Liu, X. Sun, D. Pan, X. Wang, L. C. Kimerling, T. L. Koch, and J. Michel, “Tensile-strained, n-type Ge as a gain medium for monolithic laser integration on Si,” Opt. Express 15(18), 11272–11277 (2007). [CrossRef]  

12. R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, “An electrically pumped germanium laser,” Opt. Express 20(10), 11316–11320 (2012). [CrossRef]  

13. Z. Zhou, B. Yin, and J. Michel, “On-chip light sources for silicon photonics,” Light: Sci. Appl. 4(11), e358 (2015). [CrossRef]  

14. Y. Cai and R. Camacho-Aguilera, “High n-type doped germanium for electrically pumped Ge laser,” in Advanced Photonics Congress, OSA Technical Digest (online) (Optical Society of America, 2012), paper IM3A.5.

15. R. E. Camacho-Aguilera, Y. Cai, J. T. Bessette, L. C. Ki-merling, and J. Michel, “High active carrier concentration in n-type, thin film Ge using delta-doping,” Opt. Mater. Express 2(11), 1462–1469 (2012). [CrossRef]  

16. R. E. Camacho-Aguilera, “Ge-on-Si laser for silicon photonics,” Thesis, Massachusetts Institute of Technology, 2013.

17. M. E. Kurdi, T. Kociniewski, T.-P. Ngo, J. Boulmer, D. Débarre, P. Boucaud, J. F. Damlencourt, O. Kermarrec, and D. Bensahel, “Enhanced photoluminescence of heavily n-doped germanium,” Appl. Phys. Lett. 94(19), 191107 (2009). [CrossRef]  

18. X. Xu, K. Nishida, K. Sawano, T. Maruizumi, and Y. Shi-raki, “Resonant photoluminescence from Ge microdisks on Ge-on-insulator,” in Silicon-Germanium Technology and De-vice Meeting (ISTDM), 2014 7th International, 2014, pp. 135–136.

19. J. Kim, S. W. Bedell, and D. K. Sadana, “Multiple implantation and multiple annealing of phosphorus doped germanium to achieve n-type activation near the theoretical limit,” Appl. Phys. Lett. 101(11), 112107 (2012). [CrossRef]  

20. R. Milazzo, E. Napolitani, G. Impellizzeri, et al., “N-type doping of Ge by As implantation and excimer laser annealing,” J. Appl. Phys. 115(5), 053501 (2014). [CrossRef]  

21. S. H. Huang, F. L. Lu, W. L. Huang, and C. W. Liu, “The 3×1020 cm−3 Electron Concentration and Low Specific Contact Resistivity of Phosphorus-Doped Ge on Si by In-Situ Chemical Vapor Deposition Doping and Laser Annealing,” IEEE Electron Device Lett. 36(11), 1114–1117 (2015). [CrossRef]  

22. G. Pellegrini, L. Baldassare, V. Giliberti, et al., “Benchmarking the Use of Heavily Doped Ge for Plasmonics and Sensing in the Mid-Infrared,” ACS Photonics 5(9), 3601–3607 (2018). [CrossRef]  

23. J. Liu, R. Camacho-Aguilera, J. T. Bessette, X. Sun, X. Wang, Y. Cai, L. C. Kimerling, and J. Michel, “Ge-on-Si optoelectronics,” Thin Solid Films 520(8), 3354–3360 (2012). [CrossRef]  

24. G. Zhou, K. H. Lee, D. H. Anjum, Q. Zhang, X. Zhang, C. S. Tan, and Guangrui (Maggie) Xia, “Impacts of Doping on Epitaxial Germanium Thin Film Quality and Si-Ge Interdiffusion,” Opt. Mater. Express 8(5), 1117–1131 (2018). [CrossRef]  

25. F. Cai, Y. Dong, Y. H. Tan, C. S. Tan, and G. M. Xia, “Enhanced Si–Ge interdiffusion in high phosphorus-doped germanium on silicon,” Semicond. Sci. Technol. 30(10), 105008 (2015). [CrossRef]  

26. F. Cai, D. H. Anjum, X. Zhang, and G. Xia, “Study of Si-Ge interdiffusion with phosphorus doping,” J. Appl. Phys. 120(16), 165108 (2016). [CrossRef]  

27. K. H. Lee, S. Bao, B. Wang, C. Wang, S. F. Yoon, J. Michel, E. A. Fitzgerald, and C. S. Tan, “Reduction of threading dislocation density in Ge/Si using a heavily As-doped Ge seed layer,” AIP Adv. 6(2), 025028 (2016). [CrossRef]  

28. J. M. Hartmann, A. Abbadie, A. M. Papon, P. Holliger, G. Rolland, T. Billon, and S. Laval, “Reduced pressure–chemical vapor deposition of Ge thick layers on Si (001) for 1.3–1.55-µm photodetection,” J. Appl. Phys. 95(10), 5905–5913 (2004). [CrossRef]  

29. J. M. Hartmann, J. F. Damlencourt, Y. Bogumilowicz, P. Holliger, G. Rolland, and T. Billon, “Reduced pressure-chemical vapor deposition of intrinsic and doped Ge layers on Si (001) for microelectronics and optoelectronics purposes,” J. Cryst. Growth 274(1-2), 90–99 (2005). [CrossRef]  

30. Y. Ishikawa, K. Wada, D. D. Cannon, J. Liu, H. C. Luan, and L. C. Kimerling, “Strain-induced band gap shrinkage in Ge grown on Si substrate,” Appl. Phys. Lett. 82(13), 2044–2046 (2003). [CrossRef]  

31. D. D. Cannon, J. Liu, D. T. Danielson, S. Jongthammanurak, U. U. Enuha, K. Wada, and L. C. Kimerling, “Germanium-rich silicon-germanium films epitaxially grown by ultrahigh vacuum chemical-vapor deposition directly on silicon substrates,” Appl. Phys. Lett. 91(25), 252111 (2007). [CrossRef]  

32. Private discussions with Drs. Clement Porret and Andreas Schulze at IMEC.

33. A. J. Wilkinson, G. R. Anstis, J. T. Czernuszka, N. J. Long, and P. B. Hirsch, “Electron channelling contrast imaging of interfacial defects in strained silicon-germanium layers on silicon,” Philos. Mag. A 68(1), 59–80 (1993). [CrossRef]  

34. A. Schulze, et al., “Non-destructive characterization of extended crystalline defects in confined semiconductor device structures,” Nanoscale 10(15), 7058–7066 (2018). [CrossRef]  

35. H.-C. Luan, D. R. Lim, K. K. Lee, K. M. Chen, J. G. Sandland, K. Wada, and L. C. Kimerling, “High-quality Ge epilayers on Si with low threading-dislocation densities,” Appl. Phys. Lett. 75(19), 2909–2911 (1999). [CrossRef]  

36. S. Huang, C. Li, Z. Zhou, C. Chen, Y. Zheng, W. Huang, H. Lai, and S. Chen, “Depth-dependent etch pit density in Ge epilayer on Si substrate with a self-patterned Ge coalescence island template,” Thin Solid Films 520(6), 2307–2310 (2012). [CrossRef]  

37. T. K. Cams, M. O. Tanner, and K. L. Wang, “Chemical Etching of Si1−xGex in HF:H2O2: CH3COOH,” J. Electrochem. Soc. 142(4), 1260–1266 (1995). [CrossRef]  

38. X. H. Xia and J. J. Kelly, “Chemical etching and anodic oxidation of (100) silicon in alkaline solution: the role of applied potential,” Phys. Chem. Chem. Phys. 3(23), 5304–5310 (2001). [CrossRef]  

39. Y. Murao, T. Taishi, Y. Tokumoto, et al., “Impurity effects on the generation and velocity of dislocations in Ge[J],” J. Appl. Phys. 109(11), 113502 (2011). [CrossRef]  

40. K. Sumino and I. Yonenaga, “Interactions of impurities with dislocations: mechanical effects,” in Solid State Phenomena (Trans Tech Publ, 2002), pp. 145–176.

41. J. S. Christensen, “Dopant diffusion in Si and SiGe,” Doctoral dissertation, KTH Royal Institute of Technology, Stockholm, Sweden, 2004.

42. R. E. Camacho-Aguilera, Z. Han, Y. Cai, L. C. Kimerling, and J. Michel, “Direct bandgap narrowing in highly doped Ge,” Appl. Phys. Lett. 102(15), 152106 (2013). [CrossRef]  

43. J. Liu, D. D. Cannon, K. Wada, Y. Ishikawa, D. T. Danielson, S. Jongthammanurak, J. Michel, and L. C. Kimerling, “Deformation potential constants of biaxially tensile stressed Ge epitaxial films on Si (100),” Phys. Rev. B 70(15), 155309 (2004). [CrossRef]  

44. C. Benoit à la Guillaume and J. Cernogora, “Radiative recombination in highly doped germanium,” Phys. Status Solidi B 35(2), 599–612 (1969). [CrossRef]  

45. J. Wagner and L. Viña, “Radiative recombination in heavily doped p-type germanium,” Phys. Rev. B 30(12), 7030–7036 (1984). [CrossRef]  

46. P. T. Lansberg, Recombination in Semiconductors (Cambridge University Press, Cambridge, 1991).

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1.
Fig. 1. Schematic diagrams of the 6 samples grown by MOCVD. X stands for As or P.
Fig. 2.
Fig. 2. HRXRD results of the samples without annealing. The results show that the Ge layers are almost fully strained relaxed. For Ge-on-offcut-Si samples, to exclude the offcut impact on the XRD peaks, the incident X-ray directions were chosen to be perpendicular to the plane formed by the wafer surface normal and the (001) plane normal vectors.
Fig. 3.
Fig. 3. Optical images for EPD measurements. (a) Sample A-NA-on with 15 s etching; (b) Sample A-NA-off with 15 s etching; (c) Sample P-NA-on with 15s etching; and (d) Sample P-NA-off with 15s etching.
Fig. 4.
Fig. 4. ECCI micrographs for (a) A-NA-off and (b) A-5TC-off.
Fig. 5.
Fig. 5. Ge molar fraction and dopants (As/P) concentration profiles measured by SIMS. The Ge profiles are shifted laterally for easy comparison. The dopant profiles are also shifted laterally by the same length as their corresponding Ge profiles. Profiles in (a) n-Ge/Si without annealing; (b) in selected n-Ge/offcut-Si after annealing; (c) in n-Ge/Si without annealing showing the immunity to dopant outdiffusion near the sample surfaces; (d) in selected n-Ge/offcut-Si after annealing showing the immunity to dopant outdiffusion near the sample surfaces. In (c) and (d), the initial surface SIMS peaks exist, which are common for SIMS analysis due to the stabilization of the sputtering beams. The SIMS data in the first 10 nm are not true concentration data.
Fig. 6.
Fig. 6. (a) Room-temperature (22.5 °C on the temperature-controlled stage) PL spectra comparison for the unannealed P and As-doped samples (grown by MOCVD) and the reference n+ Ge control sample from MIT (UHVCVD, phosphorous delta-doped). The direct and indirect transitions are indicated in the figure. The small spikes at 1800-1900 nm are induced by water vapor absorption. (b) and (c) show the PL Intensity comparison between annealed and unannealed MOCVD samples with P and As doping respectively. (d) Peak PL intensity vs. TDD values measured by ECCI for unannealed and annealed samples. The error bars for the TDD are based on Table 2, while those for the PL intensity reflect the statistical variations across different regions on the same sample. (e) PL peak fitting of the P-NA-On sample using equation (1). The direct and indirect gaps, as well as the quasi-Fermi level of electrons, are indicated in the figure. (f) Direct gap PL intensity vs. injected carrier density in the direct Γ valley, showing a linear relation for the MOCVD samples. The injected carrier density and direct gap PL intensity of the P-NA-Off sample are similar to those of the MIT sample, although the doping level is lower by a factor of 5. This result indicates a higher injection efficiency in the MOCVD sample due to better material quality.

Tables (4)

Tables Icon

Table 1. Samples without defect annealing were noted as “NA” in the names. “5TC” means with five thermal cyclings for defect annealing; “off” means on 6° off-cut (100) Si, and “on” means on on-axis (100) Si.

Tables Icon

Table 2. Wafer offcut information and the average and RMS surface roughness of the samples

Tables Icon

Table 3. Comparison between EPD and ECCI results.

Tables Icon

Table 4. Energy difference between the direct and indirect bandgap for the different samples

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

I P L ( h v ) = A d i r h v E g Γ 1 + exp ( h v E f n k B T ) + B i n d h v E g L 1 + exp ( h v E f n k B T ) + C b a c k g r o u n d
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.