Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Continuous-wave InAs/GaAs quantum-dot laser diodes monolithically grown on Si substrate with low threshold current densities

Open Access Open Access

Abstract

We report the first room-temperature continuous-wave operation of III-V quantum-dot laser diodes monolithically grown on a Si substrate. Long-wavelength InAs/GaAs quantum-dot structures were fabricated on Ge-on-Si substrates. Room-temperature lasing at a wavelength of 1.28 μm has been achieved with threshold current densities of 163 A/cm2 and 64.3 A/cm2 under continuous-wave and pulsed conditions for ridge-waveguide lasers with as cleaved facets, respectively. The value of 64.3 A/cm2 represents the lowest room-temperature threshold current density for any kind of laser on Si to date.

©2012 Optical Society of America

1. Introduction

Si microelectronics has been the engine of modern information technology for almost 50 years. In the everlasting quest to process more and more data at faster speeds, while using the smallest components, the Si industry has successfully overcome many critical issues. The next critical challenge in the evolution of modern information systems is the limitation of metal interconnects [1]. The continuous scaling of very-large-scale integration (VLSI) circuits and beyond creates a bottleneck in inter- and intra-chip communications [1,2]. The merger of photonics and electronics into a dual-function platform, the optoelectronic integrated circuit, fabricated with existing Si infrastructure can overcome the interconnection issue, while pushing forward Si microelectronics beyond the classical complementary metal-oxide-semiconductor (CMOS) era [1,2]. Although great effort has been devoted to Si-based light generation and modulation technologies in the last 30 years, monolithic growth of electrically pumped lasers on Si remain a ‘holy grail’ for Si photonics [38]. Due to the indirect bandgap of group IV materials, in which the radiative recombination process for emitters is inefficient, only optically pumped lasers have been demonstrated for devices using Si as optical gain materials [3,9]. Although an electrically pumped Ge/Si laser has very recently been demonstrated, the threshold current density is extremely high (~280 kA/cm2) [10]. III-V compounds – direct bandgap semiconductor materials – have robust photonic properties that can be tailored for III-V emitters operating at various wavelengths with high efficiency, large direct modulation bandwidth, and sufficient optical power output for many photonic applications [1,3]. The integration of III-V compounds with Si technology is therefore an attractive option for the creation of optoelectronic integrated circuits. To date, the best-reported result for III–V lasers on Si substrates has been produced by heterogeneous bonding of III-V layers to silicon substrates [3,11]. However the wafer-bonding approach faces numerous challenges, in particular low yield [8].

Direct epitaxial growth of III-V compounds onto Si substrates could overcome these difficulties, but is very difficult to achieve, because of the large mismatch of the lattice constant, differential thermal expansion coefficient, and the polar/nonpolar issue at the III-V/Si interface, leading to a high density of threading dislocations and anti-phase domains, and hence poor device performance [1214]. In contrast, Ge-on-Si (Ge/Si) as a “virtual substrate” has become a mature technology, which can effectively bridge the lattice constant gap between GaAs and Si, because of the relatively small lattice mismatch (0.08%) and closely matched thermal expansion between GaAs and Ge, and the completely miscibility between Ge and Si [1517]. In the second key element of our approach, III-V quantum dot (QD) lasers have been demonstrated with significantly lower threshold current density (Jth) than quantum well lasers, offering temperature-insensitive operation above room temperature (RT), and lower sensitivity to defects [1822]. These special attributes of QD technology are very promising for the development of III-V QD lasers on Si substrates for Si photonics. III-V QD lasers monolithically grown on Ge/Si substrates could thus be the best solution for the direct epitaxial growth of III-V lasers on Si substrates. Recently, the growth of InAs/GaAs QDs grown on Ge/Si substrates has been demonstrated with the use of graded SiGe/Si and Ge-on-insulator-on-Si substrates [23,24]. Although RT emission at 1.3 μm has been demonstrated, there has been no report yet of the realization of III-V QD lasers on Ge/Si substrates. It should also be noted that to date there has been no report of continuous-wave (cw) operation for a Si-based QD laser. In this work, with utilizing Ge/Si substrates, we describe the first RT cw operation of Si-based InAs/GaAs QD lasers, with low RT pulsed and cw threshold current densities.

2. Crystal growth and device fabrication details

The III-V epitaxial materials were fabricated on a Ge/Si substrate by solid-source III-V molecular beam epitaxy. The device structure is shown in Fig. 1 . To form the Ge/Si virtual substrate, a 2-μm p + Ge layer was grown using chemical vapour deposition on phosphorus-doped (100)-oriented Si substrates with a 6° offcut towards the [111] planes. The threading dislocation density in the Ge epitaxial layer is about 5 × 106/cm2. Oxide desorption was performed by holding the Ge/Si substrate at a temperature of 400 °C. The substrate temperature was then increased to 650 °C and held at that temperature for 20 minutes. The Ge/Si substrate was then cooled to 380 °C for the growth of the III-V epitaxial layers. The Ga prelayer growth technique was used for the nucleation of GaAs buffer layers. This was based on our recent demonstration of the growth of high-quality GaAs buffer layers on a Ge substrate, by the use of the Ga prelayer techniques to suppress the formation of anti-phase domains, resulting in high-performance III-V QD lasers monolithically grown on Ge substrates [6,25]. Then, 20 monolayers of GaAs were grown by migration enhanced epitaxy using alternating Ga and As4 beams, and then the addition of 2-μm p + III-V buffer layer with doping density of p = 1 × 1019/cm3. QD laser structures containing five InAs/InGaAs dot-in-well (DWELL) structures were then grown at optimized conditions as on GaAs substrates, with each layer consisting of 3.0 monolayers of InAs grown on 2 nm of In0.15Ga0.85As and capped by 6 nm of In0.15Ga0.85As [26]. 45 nm GaAs barriers separated the five DWELLs with outer layers of 70 nm GaAs and 55 nm Al0.2Ga0.8As completing the waveguide core. Cladding layers consisted of 1.5 µm Al0.4Ga0.6As grown at 610°C. A 300-nm n+-GaAs contacting layer completed the growth. Atomic force microscopy (AFM) measurements were performed on an uncapped reference sample in which the growth was halted after the formation of InAs QDs. A typical AFM image is shown in Fig. 2(a) , from which a QD density of ~3.5 × 1010/cm2 is obtained. Figure 2(b) shows that the cross-sectional transmission electron microscope (TEM) image of the laser active region, i.e., five InAs/InGaAs DWELLs separated by 45-nm GaAs spacer layers.

 figure: Fig. 1

Fig. 1 The schematic shows the layer structure of an InAs/GaAs QD laser diode on a Ge-on-Si substrate.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 (A) AFM image (1 × 1 μm2) of InAs/GaAs QDs grown on a Ge/Si substrate. (B) Cross-sectional TEM image of laser active region, where InAs QDs are separated by 8-nm InGaAs and 45-nm GaAs spacer layers.

Download Full Size | PDF

Ridge-waveguide edge-emitting laser devices were fabricated using standard photolithography, wet etching, and metallization techniques. After forming the 20 μm ridge and exposing the p-AlGaAs contacting layer by conventional wet etching, Ni/Ge/Au and Ti/Pt/Au contact layers were deposited on the n-GaAs and the exposed p-AlGaAs contacting layer, respectively. No highly reflective coatings were used for the device mirror facets. Devices of 3.0-mm and 3.5-mm lengths were bar-tested. Laser device characteristics were measured under both cw and pulsed conditions. For the pulsed measurements, a pulse width of 1 μs and duty cycle of 0.2% was used.

3. Results and discussion

The lowest RT threshold current densities are observed for 3.5-mm-long devices. The inset of Fig. 3(a) shows a series of RT spontaneous and lasing spectra for a 3.5-mm-long QD laser on a Ge/Si substrate operating below and above threshold under pulsed conditions. Spontaneous emission can be observed at a peak wavelength of approximately 1.29 μm with a FWHM of 36 meV at a current of 30 mA. Lasing emission with peak wavelength of 1.28 μm can be observed at a current of 50 mA. The multimode lasing spectrum appears with the increase of the injection current to 150 mA. The main part of Fig. 3(a) shows the RT light output power against current (L-I) characteristics of a 3.5-mm-long device under pulsed conditions. The lasing threshold current is 45 mA at RT, and the maximum output power is close to 93 mW for an injection current of 350 mA (external quantum efficiency ηex = 37.5%), with no evidence of power saturation up to this current. The Jth under pulsed mode is as low as 64.3 A/cm2, which corresponds to about 12.9 A/cm2 for each of the five QD laser layers. This very low Jth is comparable to the best-reported values for GaAs-based InAs QD laser diodes with as-cleaved facets, such as 39 A/cm2 for a five-QD-layer device [22], 32.5 A/cm2 for a three-QD-layer device [20] and 10.5 A/cm2 for a single-QD-layer device [21]. To the best of our knowledge, the Jth of 64.3 A/cm2 is significantly lower than previously reported values for any kind of laser on Si, for example, the best-reported RT Jth values of 205 A/cm2 for III-V/Si QD lasers by direct fusion bonding and the best-reported RT Jth values of 269 A/cm2 for III-V/GeSi/Si quantum-well lasers by epitaxial growth, respectively [12,27].

 figure: Fig. 3

Fig. 3 (A) Light output power versus current for 3.5-mm-long QD laser diodes grown on a Ge/Si substrate under pulsed mode. The inset shows the emission spectra of InAs/GaAs QD laser for different drive currents below and above threshold. (B) Light output power against current and voltage against current for 3.5-mm-long QD laser diodes grown on a Ge/Si substrate under cw conditions.

Download Full Size | PDF

The RT light L-I characteristic of a 3.5-mm-long device under cw operation is shown in Fig. 3(b). The cw lasing threshold is 114 mA, which corresponds to a RT cw Jth of 163 A/cm2. A maximum cw output power of ~3.7 mW (for both facets) is obtained for a current 150 mA. The voltage/current (V-I) characteristics of a 3.5-mm-long device is also shown in Fig. 3(b), from which it can be seen that the turn-on voltage is ~0.8 V with series resistance of ~5.0 Ω. The device exhibits higher resistance characteristics than GaAs-substrate InAs QD laser diodes [6]. This could be understood in terms of the propagation of threading dislocations from the Ge buffer layer into GaAs buffer layer, because the defect density (~5 × 106/cm2) in the Ge buffer layer is much higher that the typical value of defect density (<5 × 103/cm2) for GaAs substrates. The device performance could be further improved through using a low-defect-density Ge buffer layer on a Si substrate and/or dislocation filter layer, such as the QD dislocation filters used in Ref. 28, in the III-V buffer layer.

While the lowest threshold current densities are obtained for 3.5-mm-long device, 3.0-mm-long laser diodes operate at higher temperature. Figure 4(a) shows the L-I characteristic for a 3-mm-long Si-based InAs/GaAs QD laser operated in pulsed mode at various substrate temperatures. This Si-based InAs/GaAs QD laser has an 84 °C maximum heatsink temperature for lasing, with a characteristic temperature, T0, of ~37 K between 21 °C and 84 °C. The temperature-dependent L-I under cw operation is shown in Fig. 4(b). The cw output powers were measured for a maximum drive current of 300 mA, which is limited by our experimental system. Continuous-wave laser operation is achieved for heatsink temperatures up to 30 °C, with a T0 of ~23 K between 12 and 30 °C. The poor T0 values observed here are mainly due to hole excitation out of the lasing state [29] and high series resistance in our devices. Note that p-type modulation doping of the QDs has been well established to increase the value of T0, even to T0 ≈∞ for GaAs-based InAs QD lasers by suppressing hole excitation [18,29,30]. A next step toward increasing the temperature stability and maximum cw operation temperature for Si-based QD lasers is expected using p-type modulation doping of the QDs in these laser structures and by reducing the defect density in the III-V buffer layer with the use of dislocation filters [14,28].

 figure: Fig. 4

Fig. 4 (A) Light output against current for 3.0-mm-long QD laser diode at various heatsink temperatures under pulsed mode. (B) Light output against current for 3.0-mm-long QD laser diode at various heatsink temperatures under cw conditions.

Download Full Size | PDF

As Si microelectronics scaling approaches the 22 nm node, Ge epilayers are promising to replace Si as p-channels in complementary metal-oxide-semiconductor (CMOS) devices on a Si platform, because Ge has a four times larger hole mobility compared to Si [17]. In addition, the fabrication technique for the development of low-defect Ge on Si substrates has become mature and high-quality Ge/Si substrates are commercially available [6,15,16]. The realization of low-Jth cw InAs/GaAs QD laser diodes on Ge/Si substrates, thus demonstrates an essential step towards the integration of III-V photonic components into future Si microelectronics.

4. Conclusion

We have demonstrated the first RT cw operation of Si-based quantum-dot lasers. A standard five-layer InAs/GaAs dot-in-a-well laser structure has been epitaxially grown on a Ge-on-Si substrate. A very low RT pulsed Jth of 63.4 A/cm2, a cw RT Jth of 163 A/cm2, RT output power of ~93 mW, and lasing up to 84 °C have been demonstrated for 20-μm-wide laser diodes with either 3.5-mm-long or 3-mm-long cavities. This study could ultimately form the basis for the monolithic integration of long-wavelength InAs/GaAs QD lasers on Ge/Si substrates, as well as for the integration of other III-V devices on Ge/Si substrates to realize the long-dreamed of III-V/Si optoelectronic integrated circuit.

Acknowledgments

The authors acknowledge the Royal Society, the Defense Science Technology Laboratory and UK Engineering and Physics Research Council (EPSRC) for funding support.

References and links

1. B. Jalali and S. Fathpour, “Silicon photonics,” J. Lightwave Technol. 24(12), 4600–4615 (2006). [CrossRef]  

2. R. Won, “Integrating silicon photonics,” Nat. Photonics 4(8), 498–499 (2010). [CrossRef]  

3. D. Liang and J. E. Bowers, “Recent progress in lasers on Si,” Nat. Photonics 4(8), 511–517 (2010). [CrossRef]  

4. G. T. Reed, G. Mashanovich, F. Y. Gardes, and D. J. Thomson, “Silicon optical modulators,” Nat. Photonics 4(8), 518–526 (2010). [CrossRef]  

5. J. Michel, J. Liu, and L. C. Kimerling, “High-performance Ge-on-Si photodetector,” Nat. Photonics 4(8), 527–534 (2010). [CrossRef]  

6. H. Liu, T. Wang, Q. Jiang, R. Hogg, F. Tutu, F. Pozzi, and A. Seeds, “Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate,” Nat. Photonics 5(7), 416–419 (2011). [CrossRef]  

7. J. Leuthold, C. Koos, and W. Freude, “Nonlinear silicon photonics,” Nat. Photonics 4(8), 535–544 (2010). [CrossRef]  

8. R. Chen, T. D. Tran, K. Ng, W. Ko, L. Chuang, F. Sedgwick, and C. Chang-Hasnain, “Nanolasers grown on silicon,” Nat. Photonics 5(3), 170–175 (2011). [CrossRef]  

9. H. Rong, R. Jones, A. Liu, O. Cohen, D. Hak, A. Fang, and M. Paniccia, “A continuous-wave Raman silicon laser,” Nature 433(7027), 725–728 (2005). [CrossRef]   [PubMed]  

10. R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, “An electrically pumped germanium laser,” Opt. Express 20(10), 11316–11320 (2012). [CrossRef]   [PubMed]  

11. A. W. Fang, R. Jones, H. Park, O. Cohen, O. Raday, M. J. Paniccia, and J. E. Bowers, “Integrated AlGaInAs-silicon evanescent race track laser and photodetector,” Opt. Express 15(5), 2315–2322 (2007). [CrossRef]   [PubMed]  

12. M. Groenert, A. Pitera, R. Ram, and E. Fitzgerald, “Improved room-temperature continuous wave GaAs/AlGaAs and InGaAs/GaAs/AlGaAs laser fabricated on Si substrates via relaxed graded GexSi1-x buffer layers,” J. Vac. Sci. Technol. B 21(3), 1064–1069 (2003). [CrossRef]  

13. R. Fischer, W. Masselink, J. Klem, T. Henderson, T. McGlinn, M. Klein, H. Morkoc, J. H. Mazur, and J. Washburn, “Growth and properties of GaAs/AlGaAs on nonpolar substrates using molecular beam epitaxy,” J. Appl. Phys. 58(1), 374–381 (1985). [CrossRef]  

14. T. Wang, H. Liu, A. Lee, F. Pozzi, and A. Seeds, “1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates,” Opt. Express 19(12), 11381–11386 (2011). [CrossRef]   [PubMed]  

15. T. Akatsu, C. Deguet, L. Sanchez, F. Allibert, D. Rouchon, T. Signamarcheix, C. Richtarch, A. Boussagol, V. Loup, F. Mazen, J.-M. Hartmann, Y. Campidelli, L. Clavelier, F. Letertre, N. Kernevez, and C. Mazure, “Germanium-on-insulator (GeOI) substrates – A novel engineered substrate for future high performance devices,” Mater. Sci. Semicond. Process. 9(4–5), 444–448 (2006). [CrossRef]  

16. M. Currie, S. Samavedam, T. Langdo, C. Leitz, and E. Fitzgerald, “Controlling threading dislocation densities in Ge on Si using graded SiGe layers and chemical-mechanical polishing,” Appl. Phys. Lett. 72(14), 1718–1720 (1998). [CrossRef]  

17. G. Brammertz, M. Caymax, M. Meuris, M. Heyns, Y. Mols, S. Degroote, and M. Leys, “GaAs on Ge for CMOS,” Thin Solid Films 517(1), 148–151 (2008). [CrossRef]  

18. M. Sugawara and M. Usami, “Quantum dot devices: Handling the heat,” Nat. Photonics 3(1), 30–31 (2009). [CrossRef]  

19. R. Beanland, A. Sanchez, D. Childs, K. M. Groom, H. Liu, D. Mowbray, and M. Hopkinson, “Structural analysis of life tested 1.3 μm quantum dot lasers,” J. Appl. Phys. 103(1), 014913 (2008). [CrossRef]  

20. I. Sellers, H. Liu, K. Groom, D. Childs, D. Robbins, T. Badcock, M. Hopkinson, D. Mowbray, and M. Skolnick, “1.3 μm InAs/GaAs multilayer quantum-dot laser with extremely low room-temperature threshold current density,” Electron. Lett. 40(22), 1412–1413 (2004). [CrossRef]  

21. D. Deppe, K. Shavritranuruk, G. Ozgur, H. Chen, and S. Freisem, “Quantum dot laser diode with low threshold and low internal loss,” Electron. Lett. 45(1), 54–55 (2009). [CrossRef]  

22. H. Liu, I. Sellers, T. Badcock, D. Mowbray, M. Skolnick, K. Groom, M. Gutierrez, M. Hopkinson, J. Ng, J. David, and R. Beanland, “Improved performance of 1.3 μm multilayer InAs quantum-dot lasers usinga high-growth-temperature GaAs spacer layer,” Appl. Phys. Lett. 85(5), 704–706 (2004). [CrossRef]  

23. H. Tanoto, S. F. Yoon, K. L. Lew, W. K. Loke, C. Dohrman, E. A. Fitzgerald, and L. J. Tang, “Electroluminescence and structural characteristics of InAs/In0.1Ga0.9As quantum dots grown on graded Si1−xGex/Si substrate,” Appl. Phys. Lett. 95(14), 141905 (2009). [CrossRef]  

24. D. Bordel, D. Guimard, M. Rajesh, M. Nishioka, E. Augendre, L. Clavelier, and Y. Arakawa, “Growth of InAs/GaAs quantum dots on germanium-on-insulator-on-silicon (GeOI) substrate with high optical quality at room temperature in the 1.3 μm band,” Appl. Phys. Lett. 96(4), 043101 (2010). [CrossRef]  

25. T. Wang, A. Lee, F. Tutu, A. Seeds, H. Liu, Q. Jiang, K. Groom, and R. Hogg, “The effect of growth temperature of GaAs nucleation layer on InAs/GaAs quantum dots monolithically grown on Ge substrates,” Appl. Phys. Lett. 100(5), 052113 (2012). [CrossRef]  

26. H. Liu, M. Hopkinson, C. Harrison, M. Steer, R. Frith, I. R. Sellers, D. J. Mowbray, and M. S. Skolnick, “Optimizing the growth of 1.3 μm InAs/InGaAs dots-in-a-well structure,” J. Appl. Phys. 93(5), 2931–2936 (2003). [CrossRef]  

27. K. Tanabe, K. Watanabe, and Y. Arakawa, “III-V/Si hybrid photonic devices by direct fusion bonding,” Sci. Rep. 2, 349 (2012.)

28. J. Yang, P. Bhattacharya, and Z. Mi, “High-performance In0.5Ga0.5As/GaAs quantum-dot lasers on silicon with multiple-layer quantum-dot dislocation filters,” IEEE Trans. Electron. Dev. 54(11), 2849–2855 (2007). [CrossRef]  

29. C. Jin, T. Badcock, H. Liu, K. Groom, R. Royce, D. Mowbray, and M. Hopkinson, “Observation and modelling of a room-temperature negative characteristic temperature 1.3-μm p-type modulation-doped quantum-dot laser,” IEEE J. Quantum Electron. 42(12), 1259–1265 (2006). [CrossRef]  

30. T. Badcock, R. Royce, D. Mowbray, M. Skolnick, H. Liu, M. Hopkinson, K. Groom, and Q. Jiang, “Low threshold current density and negative characteristic temperature 1.3 μm InAs self-assembled quantum dot lasers,” Appl. Phys. Lett. 90(11), 111102 (2007). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (4)

Fig. 1
Fig. 1 The schematic shows the layer structure of an InAs/GaAs QD laser diode on a Ge-on-Si substrate.
Fig. 2
Fig. 2 (A) AFM image (1 × 1 μm2) of InAs/GaAs QDs grown on a Ge/Si substrate. (B) Cross-sectional TEM image of laser active region, where InAs QDs are separated by 8-nm InGaAs and 45-nm GaAs spacer layers.
Fig. 3
Fig. 3 (A) Light output power versus current for 3.5-mm-long QD laser diodes grown on a Ge/Si substrate under pulsed mode. The inset shows the emission spectra of InAs/GaAs QD laser for different drive currents below and above threshold. (B) Light output power against current and voltage against current for 3.5-mm-long QD laser diodes grown on a Ge/Si substrate under cw conditions.
Fig. 4
Fig. 4 (A) Light output against current for 3.0-mm-long QD laser diode at various heatsink temperatures under pulsed mode. (B) Light output against current for 3.0-mm-long QD laser diode at various heatsink temperatures under cw conditions.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.