Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Structured Mo/Si multilayers for IR-suppression in laser-produced EUV light sources

Open Access Open Access

Abstract

Laser produced plasma sources are considered attractive for high-volume extreme-ultraviolet (EUV) lithography because of their high power at the target wavelength 13.5 nm. However, besides the required EUV light, a large amount of infrared (IR) light from the CO2 drive laser is scattered and reflected from the plasma as well as from the EUV mirrors in the optical system. Since these mirrors typically consist of molybdenum and silicon, the reflectance at IR wavelengths is even higher than in the EUV, which leads to high energy loads in the optical system. One option to reduce this is to structure the EUV multilayer, in particular the collector mirror, with an IR grating that has a high IR-suppression in the zeroth order. In this paper, the characterization of such an optical element is reported, including the IR-diffraction efficiency, the EUV performance (reflectance and scattering), and the relevant surface roughness. The measurement results are directly linked to the individual manufacturing steps.

© 2013 Optical Society of America

1. Introduction

The potential of extreme ultraviolet (EUV) lithography to enable high-volume manufacturing of electronic circuits, with structure sizes of 22 nm and beyond, critically depends on the availability of EUV sources with a sufficiently high power at the target wavelength of 13.5 nm [1]. Recent developments [2,3] show that laser produced plasma (LPP) sources are the most promising candidates because of the high conversion efficiency and the scalability to high source powers. However, LLP sources rely on a high power pulsed laser, which is usually a CO2 laser, to generate the EUV emitting plasma by irradiating tiny tin droplets [1,2]. Hence, besides the required EUV light a large amount of out-of-band radiation is generated because of the reflection and scattering of infrared (IR) light from the plasma.

In an EUV lithography system, multilayer coatings consisting of alternating layers of molybdenum and silicon are used to achieve high EUV reflectances of up to 70% under normal incidence [4,5]. For IR-wavelengths, the reflection is even better, because of the metallic molybdenum, as can be observed in Fig. 1.Consequently, the unwanted out-of-band radiation propagates through the optical system, along with the EUV light, and causes an undesirable heating of the optics, which leads to imaging distortions, and thus, a reduced resolution.

 figure: Fig. 1

Fig. 1 Theoretical reflectance of Mo/Si multilayer without capping layer for normal incidence.

Download Full Size | PDF

In order to suppress the unwanted IR radiation, different spectral purity filters have been proposed, which include, gas absorbers [6], foil filters [7], grid filters [8], antireflection coatings [9], and grating-like optics [10,11]. Among these, the latter two are very favorable because of the possibility to cool the mirror substrate so that they can withstand high energy loads.

The working principle of grating-like EUV optics is to shift the unwanted radiation out of the specular direction into higher diffraction orders so that it can be blocked by an aperture stop [see Fig. 2 (left)] while the diffraction period is so large for the EUV radiation that the propagation direction is not changed significantly. The grating itself consists of an EUV multilayer in order to obtain a high reflectance for the EUV radiation.

 figure: Fig. 2

Fig. 2 Diffraction from binary grating. Left: Working principle for separating EUV and IR light, right: grating efficiencies and –angles for EUV and IR-radiation.

Download Full Size | PDF

2. Theory

In this paper, binary reflection gratings are used to separate the IR from the EUV radiation. Hence, the angular positions, θn, of the individual diffraction orders, n, can be determined from the grating equation

sinθnsinθifg=nλ,
where λ is the incident wavelength, fg the grating frequency, and θi the incidence angle with respect to the sample normal. In order to achieve a low diffraction efficiency for the 0th order for the IR radiation, the grating height, h, should introduce an optical path difference of π which leads to h = λ/4 for normal incidence. In Fig. 2 (right), rigorous simulations of the diffraction efficiencies for the EUV and IR radiation are shown for a grating period Λ = 1/fg = 1 mm, and a filling factor (ratio between grating top width and grating period) of 0.5. A non-commercial computer code, based on the rigorous coupled wave analysis (RCWA) presented in [12], was used for the simulations which also allows the multilayer coating to be considered in the calculations.

Since the angular separation of the diffraction orders is proportional to λ /Λ, the angular direction of the EUV radiation is only marginally affected by the long grating period, while the IR radiation is diffracted to angles greater than 0.5° for Λ = 1 mm, with the highest diffraction efficiency in the ± 1st order. Theoretical calculations reveal [13] that the 0th order reflectance can be suppressed even for incidence angles of up to 20° without any changes to the grating parameters. This enables an implementation of this filtering strategy to the collector mirror design without the necessity to include a further filtering element to the optical train of an EUV lithography system.

Similar to a grating, rough surfaces can be seen as a superposition of sinusoidal gratings with different amplitudes, phases, and directions. A descriptive quantity to describe this is the Power Spectral Density function, PSD, which is defined as the squared modulus of the Fourier transform of the surface topography. It represents the power of the different roughness components as a function of the spatial frequency f. Hence, the PSD of a perfect sinusoidal grating, with an amplitude a, consists of two delta-functions with a height of a2/4 at the grating frequencies ± fg. Integrating the PSD yields the bandwith-limited rms-roughness, σ [14]:

σ2=2πfminfmaxPSD(f)fdf.
The integration limits either depend on the measurement technique used or the specific application at hand. For EUV optics, the most relevant bandwidth-limited roughness values are the mid-spatial frequency roughness, MSFR, between f = 0.001 µm−1 and 1 µm−1 and the high-spatial frequency, HSFR, between f = 1 µm−1 and 50 µm−1; these directly influence the figuring properties and scattering losses, respectively [15,16].

When light falls on rough surfaces, the individual gratings, of which the surface topography is composed, diffract the incident light into the scattering angles, θs. For smooth surfaces (σ << λ), only the ± 1st diffraction order contribute to significant scattering levels. Hence, the angle resolved scattering, ARS, can be directly calculated from the PSD [17,18], neglecting higher diffraction orders

ARS(θs)=ΔPsΔΩsPi=16π2λ4cosθicos2θsQPSD(f),
where ΔPs is the power scattered into the solid angle ΔΩs, Pi is the incident power, and Q is the optical factor which describes the properties of the perfect interface and the illumination and detection conditions (refractive index, polarization, etc.). This handy relationship between the PSD and the ARS is the basis for a light scattering based roughness characterization as will be shown in section 5.1.

3. Sample generation

Grating-like molybdenum and silicon multilayer coatings were fabricated on test samples with a diameter of 40 mm using various structuring methods. A picture of such a sample is shown in Fig. 3.In the following sections, the individual manufacturing steps are briefly described.

 figure: Fig. 3

Fig. 3 Photograph of grating-like Mo/Si multilayer.

Download Full Size | PDF

3.1 Mirror substrate

In order to manufacture an EUV substrate based on a metal substrate, a combination of ultra-precise manufacturing and post-polishing steps were applied. Single-Point-Diamond-Turning is an outstanding technique regarding high precision surface quality as well as for an economical manufacturing process of mirrors [19]. However, for applications in the EUV spectral range the typical diamond turning structure must be removed by polishing in order to minimize scatter losses. Aluminum cannot be polished to the required HSFR levels of lower than 0.3 nm. So far, roughness values of MSFR ~1 nm have been demonstrated by a Dutch group [20] for applications in the visible spectral range. However, using a combination of aluminum with a polishable amorphous nickel-phosphorous or amorphous silicon layer opens up the manufacturing chain for super polished substrates [19,21]. Figure 4 shows two process chains for the realization of UV and EUV mirrors.

 figure: Fig. 4

Fig. 4 Two different process chains for manufacturing ultra-precise and post-polished metal mirrors for applications in the visible, ultraviolet, and EUV spectral range.

Download Full Size | PDF

As an initial step, an aluminum body is prefabricated back to back with stress relieving and aging processes. Next, the aluminum substrate is diamond turned yielding a substrate roughness of 5 nm to 8 nm for the MSFR of the aluminum alloy [19]. Thereafter, the substrate is plated with electroless nickel. After subsequent diamond turning of the NiP surface, the MSFR drops down to 2 nm rms. However, due to the characteristic nature of the diamond turning process, the typical turning pattern can still be observed on the treated mirror substrates, as shown in Fig. 5 left. In order to avoid this, a post-polishing step, based on chemical-mechanical-polishing, is applied that reduces the HSFR by a factor of 10 (Fig. 6 right) and allows metal surfaces to be used for EUV illumination applications. The diamond turning and polishing processes require a plating thickness of at least 50 µm. The behavior of the mirror critically depends on the mismatch between the thermal expansion coefficients of aluminum and NiP. Special aluminum alloys, such as AlSi40 or AlSi42, match the thermal expansion coefficient of the NiP coating better than 0.5 ppm. In the result, the mirror substrates fulfill the EUV requirements regarding minimization of scatter losses and high EUV reflectivity [22]. This technology is applicable and tested for large substrates up to 660 mm in diameter.

 figure: Fig. 5

Fig. 5 AFM images (measurement area: 10 µm x 10 µm) of diamond turned (left) and super polished (right) NiP surface on top of an Al alloy substrate.

Download Full Size | PDF

 figure: Fig. 6

Fig. 6 Instrument ALBATROSS for light scattering measurements in the ultraviolet-visible-IR spectral range. Left: Schematic showing the individual laser light sources (1), mechanical chopper for lock-in amplification (2), attenuation filters (3), beam preparation optics (4) with spatial filter (5), polarizer (6), sample (7), and detector (8), right: photograph of 3D goniometer.

Download Full Size | PDF

3.2 Multilayer coating

The Mo/Si multilayer was deposited in argon atmosphere using dc-magnetron sputtering. 60 multilayer pairs have been deposited on the metal substrate. A special capping layer was used that has been optimized to protect the underneath Mo/Si stack from degradation in a harsh EUV source environment. This is why the EUV reflectance is significantly lower than the usual upper 60% range. The d-spacing has been optimized for 20 degrees angle of incidence.

3.3 Structuring

Grating structures have been realized by standard mask-aligner lithography and a subsequent transfer of the resulting resist structure into the multilayer stack by either reactive ion etching or a standard lift-off process of the multilayer stack. The etching process was specially adapted to avoid an undesired increase of surface roughness and damaging of the uppermost Mo/Si interfaces. In order to achieve the necessary grating height after structuring, the initial multilayer coating consisted of more than 500 Mo/Si layer pairs. In the case of the lift-off process, the surface roughness is naturally not affected and allows using a smaller number of layers by structuring the substrate surface. Achieving a low surface roughness is mandatory to avoid a reduction of the EUV reflectance of the mirror. Therefore, the latter process was used for the generation of the sample in this study. The target parameters for the grating are as follows: Λ = 1 mm, filling factor 0.5, and h = 2.65 µm.

4. Experimental

Several instruments for light scattering measurements have been developed at Fraunhofer IOF that cover a wide range of wavelengths in the visible [23,24], IR [25], deep ultraviolet [26], and EUV spectral range [27]. This offers the unique opportunity to characterize samples over a broad spectral range and also at sparsely available wavelengths like the EUV or IR which is of particular importance for the samples discussed here.

For the characterization of the surface roughness and IR diffraction efficiency the setup ALBATROSS 3D - Arrangement for Laser Based Transmittance, Reflectance, and Optical scatter Measurement – was used which is shown in Fig. 6. A detailed description of the instrument can be found in [23]. In the following paragraphs only the relevant measurement parameters for the experimental results, presented in section 5, are briefly described.

For the roughness measurements, a laser diode, operating at 395 nm, was used. For this wavelength, a dynamic range of 12 orders of magnitude is achieved and the lower scattering limit of 10−8 sr−1 is given by Rayleigh scattering from air molecules in the detector field of view. This allows measurement of surface rms-roughness values of lower than 0.1 nm, as was demonstrated in [28]. Typical illumination spot diameters at the sample position are between 1 mm and 7 mm. However, in order to resolve the bottom and top structure of the grating-like EUV coating, focused illumination with a spot diameter of 100 µm was used. The maximum sample size which can be handled is 700 mm.

The dominant sources of uncertainty in light scattering measurements based on goniometric setups are, the effective size of the detector solid angle, the fluctuations of the laser output power, the transmittances of the attenuation filters, as well as the detector noise. In order to minimize these effects, the transmission filter values are checked regularly. In addition, the incident power and also the scattering from a Spectralon (for the UV-VIS-near IR measurements), or Infragold (for the IR measurements) diffuse reflectance standard, is determined for calibration. The relative uncertainty of the ARS measurements, following error propagation, is 10%.

A critical parameter for diffraction, reflectance, and transmittance measurements is the detector solid angle; a large detector aperture causes near specular scattering to be attributed to these values. On the other hand, too small apertures might clip off the specular beam. Therefore, the apertures of the ALBTROSS system can be changed to fit the specific requirements, with diameters between 0.5 mm and 5 mm. Since the detector solid angle is not directly included in the calculation of the diffraction efficiency, the absolute uncertainty, following error propagation, is better than 1%. This lower error at high signal levels, compared to the relative uncertainty of the ARS measurements, is also due to the circumstance that the same attenuation filter can be used for the measurement of the diffraction signals and the incident power. For the IR diffraction efficiency measurements a water cooled CO2 laser, with a hard sealed laser tube filled with the isotope 12C16O2, is used.

For the characterization of the EUV performance of the grating-like EUV mirror, the setup MERLIN - Measurement of EUV reflectance and scattering, shown in Fig. 7 and described in detail in [27], was used. A channeltron (Burle Magnum 5900 EDR) is utilized for the detection of low scattering signals, whereas for higher signal levels a silicon photodiode (IRD AXUV 100) is used. The combination of these two detectors allows a dynamic range of more than 7 orders of magnitude and a noise equivalent ARS level below 10−3sr−1. The dominating sources of noise are shot noise and excess noise, in the case of the channeltron and dark current if the photodiode is used. In combination with geometric and calibration errors, the total relative uncertainty of the ARS measurements is 6%. Compared to the uncertainty budget of the ALBTROSS system, the lower value is mainly achieved through the use of a reference detector.

 figure: Fig. 7

Fig. 7 Instrument MERLIN for light scattering measurement at 13.5 nm. Left: Schematic showing the measurement vacuum chamber (MC), beam preparation vacuum chamber (BC), source vacuum chamber (SC), Xe-plasma (1) beam preparation optics (4), spatial filter (5), sample (7), detectors (8a – photodiode, 8b - channeltron), and reference detector (9), right: photograph of 2D goniometer.

Download Full Size | PDF

5. Results

5.1 Roughness analysis

After coating the sample, atomic force microscopy (AFM) measurements of the top and bottom of the grating were made. Exemplary results are shown in Fig. 8.

 figure: Fig. 8

Fig. 8 AFM images (measurement area: 1µm x 1 µm) of the surface topography of the grating top and bottom.

Download Full Size | PDF

The surface roughness at the top and bottom of the grating do not significantly differ from each other. The HSFR values are also typical for the top surface of sputtered EUV multilayer coatings [29,30]. This shows that the structuring process has not altered the roughness properties of the substrate surface.

Although AFM measurements are perfectly suited to determine the relevant roughness for the EUV performance, they are limited to a small sampling area, and thus cannot be used to characterize the homogeneity of the structuring process and surface finish on the entire sample. An alternative is given by light scattering measurements, which enable an area covering characterization of the sample surface, even for large and complex shaped geometries [28,30,31]. This is possible because of the the non-contact data acquisition and the direct link between the ARS and PSD for single surfaces, as shown in Eq. (3). However, when applied to periodically structured surfaces, the dominant influence from high diffraction orders usually limits the applicability of this relation. In the following section, two approaches based on focused and unfocused illumination are discussed to overcome this limitation.

Figure 9 (left) shows the results from ARS measurements at a wavelength of 395 nm using focused and unfocused illumination (spot diameter at sample position ~2 mm and ~100 µm, respectively) for different orientations of the grating. In the focused configuration, the spot size at the sample position is smaller than the grating period. Hence, no diffraction pattern occurs and Eq. (3) can still be used for a roughness analysis. In the unfocused configuration, the spot diameter exceeds the grating period, which can be clearly observed by the diffraction peaks if the grating lines are oriented perpendicular to the incident plane. However, when the sample or detector is rotated by 90°, so that the measurement plane is parallel to the grating lines, the scattering pattern coincides with that of the unfocussed illumination configuration. Hence, only the scattering that is attributed to surface roughness is detected in this configuration.

 figure: Fig. 9

Fig. 9 Light scattering based roughness characterization of grating-like Mo/Si multilayer, left: ARS measurements at 395 nm for different orientations of the sample and different illumination spot sizes, right: PSD retrieved from scattering data and AFM measurements.

Download Full Size | PDF

Based on the scattering data, the corresponding PSDs were calculated using Eq. (3). In Fig. 9 (right), exemplary results of this analysis are shown, together with the PSDs obtained from AFM topography measurements. In order to cover a broad spatial frequency range, several AFM scans were performed in different scan areas, between 1 µm x 1 µm and 50 µm x 50 µm, and the resulting PSDs were combined as described in [14]. In the overlapping region, a good agreement between both measurement methods can be observed. For the focused configuration, a similar PSD is obtained as for the unfocused configuration because the calculation of the PSD from the ARS data does not depend on the measurement spot size [see Eq. (3)].

As studied in [30,32], surface PSDs of polished substrates with a low rms roughness exhibit a fractal like behavior, which can be described by a power law, PSD = A/f n, where A is the spectral strength and n the spectral index. This typical linear decrease of the PSD in a double logarithmic plot can also be observed in Fig. 9 (right), allowing the scattering PSD to be extended to higher spatial frequencies. Integrating the model PSD in the high spatial frequency range yields a HSFR of 0.28 nm, which is in good agreement with the results from the AFM measurements, shown in Fig. 8.

It is interesting to note that the roughness values obtained from the scattering data by using unfocused illumination is more sensitive to rougher parts inside the illumination spot. Hence, since the ARS curves based on unfocused and focused illumination coincide, the roughness at the top and bottom of the grating has to be similar, and no enhanced roughness occurs from the structuring process. This can also be observed in the HSFR map, shown in Fig. 10, which was obtained by scanning the sample in the x-y plane using focused illumination and applying the same data analysis as in the case of unfocussed illumination. The edges of the grating can clearly be observed as periodic vertical lines in the mapping

 figure: Fig. 10

Fig. 10 Roughness map (measurement area: 5 x 5 mm2) of structured Mo/Si multilayer retrieved from ARS measurements at 395 nm. The high roughness values (red colored areas) result from the grating edges.

Download Full Size | PDF

Summarizing the light scattering based roughness measurements shows that it is possible to retrieve a detailed picture about the relevant surface roughness by using focused illumination. By using unfocused illumination, an average roughness value within the measurement spot size is obtained, which allows large surface areas to be characterized in a reasonable amount of time.

5.2 IR diffraction efficiency

In Fig. 11, the measured diffraction efficiencies at a wavelength of 10.6 µm and p-polarized incident light are shown. In order to measure also the 0th diffraction order an out-of-plane measurement configuration (out-of-plane angle = 1°) was used to avoid shadowing from the detector. The detector solid angle and the spot diameter on the sample surface are 2.7x10−5 sr−1 and 7 mm.

 figure: Fig. 11

Fig. 11 Measured and simulated grating efficiencies at 10.6 µm on linear scale (left) and logarithmic scale (right).

Download Full Size | PDF

Due to the high dynamic range of the setup, diffraction orders above 40 can still be resolved. Although these high diffraction orders are not critical for the later application, they allow some conclusions about the grating shape. The grating is designed to suppress all even diffraction orders, therefore, small deviations in the binary shape or filling factor would result in higher diffraction efficiencies for these orders, which could be preferably observed at high diffraction orders. Since this effect is not visible in the measurements, the structuring process has led to sufficiently steep grating edges.

For the 0th and ± 1st order, the diffraction efficiency is 0.04% and 35.2%, respectively. This high suppression of the IR radiation in the 0th order is only possible if the grating depth is close to λ/4, which has also been verified by white light interferometry measurements, shown in Fig. 12.These experiments also corroborates the steep grating edges: the edge dimension is below the resolution limit of 2 µm for the used objective of the white light interferometer.

 figure: Fig. 12

Fig. 12 Grating profile retrieved from white light interferometry.

Download Full Size | PDF

The measured diffraction efficiencies for the ± 1st order are a little bit lower than the predicted values, which might be caused by surface roughness or waviness, since this is neglected in the simulations. However, with respect to the later application, the lower measured diffraction efficiencies for the ± 1st order are uncritical because this light will be blocked either way by an aperture stop. The agreement at higher diffraction orders between the measurement and simulation is better, because here the influence of roughness is reduced in the same way that the individual diffraction efficiencies are reduced.

Besides these angle resolved diffraction efficiency measurement, a mapping of the 1st diffraction order was performed. Over the entire sample a very homogenous diffraction efficiency could be observed with an absolute standard deviation of 0.5%. This illustrates the high precision of the structuring process on the entire sample surface.

5.3 EUV scattering and reflectance

Finally, ARS measurements of the grating-like Mo/Si multilayer were performed at 13.5 nm, at an incidence angle of 20°, and for two orientations of the grating structure. The results and a signature measurement of the instrument, which is an ARS measurement without a sample, are shown in Fig. 13.The scattered radiation at small scattering angles is obviously influenced by the instrument signature, which results from scattered light of the beam preparation optics. At scattering angles around θs = −20°, the incoming light beam is blocked by the detector (see Fig. 7), which leads to a masking of the measurement curve.

 figure: Fig. 13

Fig. 13 ARS measurements of structured Mo/Si multilayer at 13.5 nm. Angle of incidence 20°.

Download Full Size | PDF

Similar to the measurements at 395 nm, an enhanced scattering level can be observed if the grating lines are oriented perpendicular to the measurement plane. However, now the higher scattering level cannot be due to diffraction from the IR grating as was the case at 395nm [see Fig. 2 (right)]. Also the roughness analysis in section 5.1 did not reveal any anisotropic surface roughness. Hence, the higher scattering level has to be due to scattering at the grating edges.

Assuming an isotropic scattering distribution allows calculating the Total Scattering (TS) according to

TS=2π2°85°ARS(θsθi)sin(θsθi)dθs.
By shifting the ARS and choosing the integration limits in compliance with the international standard ISO 13696, the specular direction is not considered. Hence, the TS value describes the scattering loss similar to an absorption value. For the ARS measurements in Fig. 13, a TS value of 2.3% (parallel direction) and 5.6% (perpendicular direction) was determined. These values can be regarded as a lower and upper scattering limit. The actual scattering loss will most likely be closer to 2.3%, since the higher observed scattering level for the perpendicular direction occurs probably only in a small azimuthal scattering range. This value is close to the TS value of a standard Mo/Si mirrors without a grating structure, indicating that the IR grating does not lead to severe scattering losses, and thus, no drastic reduction of the EUV reflectance. A detailed analysis on how substrate and intrinsic thin film roughness influences the scattering can for instance be found in [29,30]. Here, it was shown that the scattering loss from typical Mo/Si multilayers with a substrate roughness of HSFR = 0.28 nm leads to a scattering loss of TS ~4%.

If just the strong wavelength dependence of Eq. (3) and the high scattering signals at the grating edges, for the roughness characterization wavelength 395 nm, are considered (see section 5.1), it seems surprising that the scattering level at 13.5 nm for the grating-like multilayer is not higher than that of an unstructured multilayer. There are two possible reasons for this: a) the low fraction of the grating edge area compared to the overall surface area, and b) the circumstance that the multilayer design at the non-perfect grating edges is not optimized for the corresponding local incidence angle of other than 20°, as it is the case at the bottom and top of the grating. Hence, at the edges, the incident light does not “see” the optimized interference system which drastically reduces the reflectance and, thus, also the scattering at these points

Besides the scattering properties, also the EUV reflectance of the sample was measured at an angle of incidence of 20°. The obtained in-band reflectance between λ = 13.5 nm ± 2% is (53.0 ± 0.3)% for a polarization ratio of 70% between s-polarized and p-polarized light [27]. Compared to previously achieved reflectance values of Mo/Si multilayers [4,5] the lower measured reflectance is mainly due to the absorption by the capping layer. Theoretical simulations of the multilayer with and without a capping layer reveal an absolute reflectance loss of ~8% from the capping layer. Taking into account the scattering loss due to interface roughness of ~4% results in an EUV reflectance of ~65% for an uncapped multilayer with perfectly smooth interfaces. The remaining difference to the theoretical in-band reflectance of a perfect Mo/Si multilayer of ~67% is due to interdiffusion and non-perfect grating edges. This means that the grating structure leads to a reflectance loss of less than 2%.

6. Discussion and conclusion

The conflicting demands, of having a high reflectance in the EUV, but also a low reflectance in the IR for collector mirrors of IR-generated EUV light sources, can be addressed by structured multilayer coatings. It was shown that zero-order IR diffraction efficiencies of just 0.04% and an EUV reflectance of 53% can be achieved at the same time. Higher EUV reflectance values are even possible if a standard Mo/Si multilayer design without a capping layer is used.

Achieving such promising results critically relies on a detailed and thorough characterization. Here, it has turned out that light scattering measurements are a very powerful, precise, and robust tool to address these aspects. The unique variety and also the availability of extreme wavelengths, such as the EUV and IR at the Fraunhofer IOF, allowed an individual characterization of the critical parameters, which can be directly linked to the individual manufacturing steps. It was shown that the applied structuring process allows a precise manufacturing of the IR grating. Both an optimal grating depth, as well as steep edges, have been achieved, which enable an excellent IR suppression. Also, no enhanced roughness at the top and bottom of the grating was observed from the structuring process, leading to EUV scattering losses that are not higher than those from an unstructured multilayer. Hence, almost the same EUV reflectance can be achieved from the grating-like mirror as from an unstructured multilayer. Only in the direction perpendicular to the grating lines, a slightly enhanced scattering level was observed. However, this light will be blocked by the aperture stop for the IR light and will therefore be not critical for the later application.

Acknowledgments

The authors are grateful to the colleagues at the Fraunhofer IOF, especially Matthias Hauptvogel, Tobias Herffurth, Christian Wiede, Nadja Felde, Hagen Pauer, Marco Perske, Andre Matthes, and Robert Leitel for sample preparation, contributing to measurements, and interesting discussions. Furthermore, the enlightening discussions with Norbert Böwering (Cymer B.V.) are gratefully acknowledged. We are also very grateful to Stefanie Kroker (Institute of Applied Physics, Jena) for performing the RCWA calculations. Parts of the work were founded in the frame of the BMBF project “PhoNa” (grant no. 03ISS2101D).

References and links

1. C. Wagner and H. Noreen, “EUV lithography: lithography gets extreme,” Nat. Photonics 4(1), 24–26 (2010). [CrossRef]  

2. I. V. Fomenkov, B. La Fontaine, D. Brown, I. Ahmad, P. Baumgart, N. R. Böwering, D. C. Brandt, A. N. Bykanov, S. De Dea, A. I. Ershov, N. R. Farrar, D. J. Golich, M. J. Lercel, D. W. Myers, C. Rajyaguru, S. N. Srivastava, Y. Tao, and G. O. Vaschenko, “The development of stable EUV sources for use in lithography exposure systems,” J. Micro/Nanolith. MEMS MOEMS 11, 021110 (2012). [CrossRef]  

3. Gigaphoton's extreme UV source hits 5.2% efficiency” (optics.org, 05 Jul. 2012), http://optics.org/news/3/7/6

4. A. E. Yakshin, R. W. E. van de Kruijs, I. Nedelcu, E. Zoethout, E. Louis, F. Bijkerk, H. Enkisch, and S. Müllender, “Enhanced reflectance of interface engineered Mo/Si multilayers produced by thermal particle deposition,” Proc. SPIE 6517, 65170I, 65170I-9 (2007). [CrossRef]  

5. T. Feigl, S. Yulin, N. Benoit, and N. Kaiser, “EUV multilayer optics,” Microelectron. Eng. 83(4-9), 703–706 (2006). [CrossRef]  

6. C. Mbanaso, A. Antohe, H. Bull, F. Goodwin, A. Hershcovitch, and G. Denbeaux, “Out-of-band radiation mitigation at 10.6 μm by molecular absorbers in laser-produced plasma extreme ultraviolet sources ,” J. Micro/nanolith MEMS MOEMS 11, 021116 (2012).

7. M. S. Bibishkin, N. I. Chkhalo, S. A. Gusev, E. B. Kluenkov, A. Y. Lopatin, V. I. Luchin, A. E. Pestov, N. N. Salashchenko, L. A. Shmaenok, N. N. Tsybin, and S. Y. Zuev, “Multilayer Zr/Si filters for EUV lithography and for radiation source metrology,” Proc. SPIE 7025, 702502, 702502-10 (2008). [CrossRef]  

8. W. A. Soer, M. J. J. Jak, A. M. Yakunin, M. M. J. W. van Herpen, and V. Y. Banine, “Grid spectral purity filters for suppression of infrared radiation in laser-produced plasma EUV sources,” Proc. SPIE 7271, 72712Y, 72712Y-9 (2009). [CrossRef]  

9. W. A. Soer, P. Gawlitza, M. M. J. W. van Herpen, M. J. J. Jak, S. Braun, P. Muys, and V. Y. Banine, “Extreme ultraviolet multilayer mirror with near-zero IR reflectance,” Opt. Lett. 34(23), 3680–3682 (2009). [CrossRef]   [PubMed]  

10. H. Kierey, K. Heidemann, B. Kleemann, R. Winters, W. Egle, W. Singer, F. Melzer, R. Wevers, and M. Antoni, “EUV spectral purity filter: optical and mechanical design, grating fabrication, and testing,” Proc. SPIE 5193, 70–78 (2004). [CrossRef]  

11. A. J. R. van den Boogaard, F. A. van Goor, E. Louis, and F. Bijkerk, “Wavelength separation from extreme ultraviolet mirrors using phaseshift reflection,” Opt. Lett. 37(2), 160–162 (2012). [CrossRef]   [PubMed]  

12. M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of planar-grating diffraction,” J. Opt. Soc. Am. 71(7), 811–818 (1981). [CrossRef]  

13. V. V. Medvedev, A. J. R. van den Boogaard, R. van der Meer, A. E. Yakshin, E. Louis, V. M. Krivtsun, and F. Bijkerk, “Infrared diffractive filtering for extreme ultraviolet multilayer Bragg reflectors,” Opt. Express 21(14), 16964–16974 (2013). [CrossRef]   [PubMed]  

14. A. Duparré, J. Ferre-Borrull, S. Gliech, G. Notni, J. Steinert, and J. M. Bennett, “Surface characterization techniques for determining the root-mean-square roughness and power spectral densities of optical components,” Appl. Opt. 41(1), 154–171 (2002). [CrossRef]   [PubMed]  

15. J. S. Taylor, G. E. Sommargren, E. Gary, D. W. Sweeney, and R. M. Hudyma, “The fabrication and testing of optics for EUV projection lithography,” Proc. SPIE 3331, 580–590 (1998). [CrossRef]  

16. M. Trost, S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “Roughness characterization of large EUV mirror optics by laser light scattering,” Proc. SPIE 8169(81690P), 81690P (2011).

17. J. C. Stover, Optical Scattering - Measurement and Analysis, 3rd Edition (SPIE Press, Bellingham, WA 2012).

18. S. Schröder, M. Trost, T. Feigl, A. Duparré, and J. E. Harvey, “Efficient specification and characterization of surface roughness for extreme ultraviolet optics,” Proc. SPIE 7969, 79692C, 79692C-8 (2011). [CrossRef]  

19. R. Steinkopf, A. Gebhardt, S. Scheiding, M. Rohde, O. Stenzel, S. Gliech, V. Giggel, H. Löscher, G. Ullrich, P. Rucks, A. Duparré, S. Risse, R. Eberhardt, and A. Tünnermann, “Metal Mirrors with Excellent Figure and Roughness,” Proc. SPIE 7102, 71020C, 71020C-12 (2008). [CrossRef]  

20. R. ter Horst, N. Tromp, M. de Haan, R. Navarro, L. Venema, and J. Pragt, “Directly Polished Light Weight Aluminum Mirror,” Proc. SPIE 7018, 701808, 701808-10 (2008). [CrossRef]  

21. S. Risse, A. Gebhardt, A. Kolbmüller, R. Steinkopf, M. Schürmann, J. Jobst, N. Kaiser, and R. Eberhardt, “Ultra-precise optical mirrors with thick amorphous silicon layer,“ Proc. 11th int. conf. EUSPEN, Lake Como, Italy, 337-340 (2011).

22. M. Schürmann, P. J. Jobst, S. Yulin, T. Feigl, H. Heiße, S. Wilbrandt, O. Stenzel, A. Gebhardt, S. Risse, and N. Kaiser, “Optical reflector coatings for astronomical applications from EUV to IR,” Proc. SPIE 8450, 84502K, 84502K-8 (2012). [CrossRef]  

23. S. Schröder, T. Herffurth, H. Blaschke, and A. Duparré, “Angle-resolved scattering: an effective method for characterizing thin-film coatings,” Appl. Opt. 50(9), C164–C171 (2011). [CrossRef]   [PubMed]  

24. T. Herffurth, S. Schröder, M. Trost, A. Duparré, and A. Tünnermann, “Comprehensive nanostructure and defect analysis using a simple 3D light-scatter sensor,” Appl. Opt. 52(14), 3279–3287 (2013). [CrossRef]   [PubMed]  

25. S. Schröder, M. Trost, T. Herffurth, A. von Finck, and A. Duparré, “Sophisticated light scattering techniques from the VUV to the IR regions,” Proc. SPIE 8495, 84950V, 84950V-9 (2012). [CrossRef]  

26. S. Schröder, S. Gliech, and A. Duparré, “Measurement system to determine the total and angle-resolved light scattering of optical components in the deep-ultraviolet and vacuum-ultraviolet spectral regions,” Appl. Opt. 44(29), 6093–6107 (2005). [CrossRef]   [PubMed]  

27. S. Schröder, T. Herffurth, M. Trost, and A. Duparré, “Angle-resolved scattering and reflectance of extreme-ultraviolet multilayer coatings: measurement and analysis,” Appl. Opt. 49(9), 1503–1512 (2010). [CrossRef]   [PubMed]  

28. M. Trost, S. Schröder, C. C. Lin, A. Duparré, and A. Tünnermann, “Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering,” Proc. SPIE 8501, 85010F, 85010F-7 (2012). [CrossRef]  

29. S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates,” Opt. Express 15(21), 13997–14012 (2007). [CrossRef]   [PubMed]  

30. M. Trost, S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “Influence of the substrate finish and thin film roughness on the optical performance of Mo/Si multilayers,” Appl. Opt. 50(9), C148–C153 (2011). [CrossRef]   [PubMed]  

31. T. Feigl, M. Perske, H. Pauer, T. Fiedler, S. Yulin, M. Trost, S. Schröder, A. Duparré, N. Kaiser, A. Tünnermann, N. R. Böwering, A. I. Ershov, K. Hoffmann, B. La Fontaine, and K. D. Cummings, “Optical performance of LPP multilayer collector mirrors,” Proc. SPIE 8322, 832217, 832217-8 (2012). [CrossRef]  

32. E. L. Church, “Fractal surface finish,” Appl. Opt. 27(8), 1518–1526 (1988). [CrossRef]   [PubMed]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (13)

Fig. 1
Fig. 1 Theoretical reflectance of Mo/Si multilayer without capping layer for normal incidence.
Fig. 2
Fig. 2 Diffraction from binary grating. Left: Working principle for separating EUV and IR light, right: grating efficiencies and –angles for EUV and IR-radiation.
Fig. 3
Fig. 3 Photograph of grating-like Mo/Si multilayer.
Fig. 4
Fig. 4 Two different process chains for manufacturing ultra-precise and post-polished metal mirrors for applications in the visible, ultraviolet, and EUV spectral range.
Fig. 5
Fig. 5 AFM images (measurement area: 10 µm x 10 µm) of diamond turned (left) and super polished (right) NiP surface on top of an Al alloy substrate.
Fig. 6
Fig. 6 Instrument ALBATROSS for light scattering measurements in the ultraviolet-visible-IR spectral range. Left: Schematic showing the individual laser light sources (1), mechanical chopper for lock-in amplification (2), attenuation filters (3), beam preparation optics (4) with spatial filter (5), polarizer (6), sample (7), and detector (8), right: photograph of 3D goniometer.
Fig. 7
Fig. 7 Instrument MERLIN for light scattering measurement at 13.5 nm. Left: Schematic showing the measurement vacuum chamber (MC), beam preparation vacuum chamber (BC), source vacuum chamber (SC), Xe-plasma (1) beam preparation optics (4), spatial filter (5), sample (7), detectors (8a – photodiode, 8b - channeltron), and reference detector (9), right: photograph of 2D goniometer.
Fig. 8
Fig. 8 AFM images (measurement area: 1µm x 1 µm) of the surface topography of the grating top and bottom.
Fig. 9
Fig. 9 Light scattering based roughness characterization of grating-like Mo/Si multilayer, left: ARS measurements at 395 nm for different orientations of the sample and different illumination spot sizes, right: PSD retrieved from scattering data and AFM measurements.
Fig. 10
Fig. 10 Roughness map (measurement area: 5 x 5 mm2) of structured Mo/Si multilayer retrieved from ARS measurements at 395 nm. The high roughness values (red colored areas) result from the grating edges.
Fig. 11
Fig. 11 Measured and simulated grating efficiencies at 10.6 µm on linear scale (left) and logarithmic scale (right).
Fig. 12
Fig. 12 Grating profile retrieved from white light interferometry.
Fig. 13
Fig. 13 ARS measurements of structured Mo/Si multilayer at 13.5 nm. Angle of incidence 20°.

Equations (4)

Equations on this page are rendered with MathJax. Learn more.

sin θ n sin θ i f g =nλ,
σ 2 =2π f min f max PSD(f)fdf .
ARS( θ s )= Δ P s Δ Ω s P i = 16 π 2 λ 4 cos θ i cos 2 θ s QPSD(f),
TS=2π 2° 85° ARS( θ s θ i )sin( θ s θ i )d θ s .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.