Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Metrology of nanoscale grating structures by UV scatterometry

Open Access Open Access

Abstract

In this contribution we demonstrate goniometric scatterometry measurements of gratings with linewidths down to 25 nm on silicon wafers with an inspection wavelength of 266 nm. For each sample, measurements have been performed in four different configurations and the obtained data have been evaluated in parallel. As results we present the reconstruction of the complete cross-section profile. We introduce a novel geometry parameterization which overcomes some limitations of the default parameterization. A co-variance analysis of the parameters is offered to indicate the soundness of the results. A qualitative comparison with cross-section scanning electron microscope (SEM) images shows excellent agreement.

© 2017 Optical Society of America

1. Introduction

Scatterometry covers a broad range of non-imaging optical techniques like classical scatterometry, reflectometry, ellipsometry or diffractometry and is commonly applied to characterize periodically structured surfaces [1]. The samples are usually illuminated by a collimated light beam and the optical response of the sample is measured in the far field and compared with simulations based on Maxwell’s equations. The best fitting parametrical description of the grating’s cross-section profile can be determined by optimization. These techniques offer substantial sample geometry information, even for structure sizes below Abbe’s diffraction limit and for 3D structures [2–11]. This is why scatterometry has become an attractive tool in the semiconductor industry, where it is usually referred to as optical critical dimension (OCD) metrology and is in wide use in process control to monitor relative deviations from the nominal design.

Here we focus on the reference-free, absolute measurement of one-dimensional periodic gratings with classical scatterometry. For grating periods larger than half of the illumination wavelength, this method has become a standard metrology technique [1,12,13]. However, due to the continuous progress in semiconductor manufacturing and nanotechnologies, metrology for the characterization of nanostructures with much smaller dimensions is required.

Therefore we have investigated the applicability of scatterometry to nanoscale gratings with much smaller periods well within the deep subwavelength regime. Such small structures are very challenging in metrology for all linewidth (critical dimensions, CD) measurement techniques, even for atomic force microscopy (problem: grooves smaller than tip size) or SEM (problem: accurate determination of edge positions) [14,15].

For these nanoscale grating samples only the zeroth diffraction order propagates. So to achieve larger sets of independent measurement data, we combine four scatterometric measurement configurations distinguished by the light’s state of polarization and sample orientation. This leads to an increased sensitivity to structure geometry parameters. With this approach we experimentally demonstrate that it is possible to optically measure the geometry of gratings with linewidths down to at least a tenth of the illumination wavelength.

Furthermore, we introduce a novel flexible geometry model and present a co-variance analysis of the results. From the co-variance matrices we then finally derive the standard deviation of the measured structure profiles.

2. Measurement configurations and samples

We have measured four different high-quality crystalline silicon gratings with nominal periods and structure heights of 50 and 100 nm, respectively, and nominal CDs of 25 to 55 nm (Table 1). These samples have been produced via electron beam lithography and subsequent reactive ion etching by the Helmholtz-Zentrum Berlin (HZB). The measurements were performed with a self-built goniometric laser scatterometer [16,17]. We used an inspection wavelength of 266 nm (Laser: CryLas FQCW-266-10) because we expected higher structure sensitivity in the deep UV, where crystalline silicon optically acts as a metal [18]. Four different measurement configurations were applied (Fig. 1): s- and p-polarized light was used and each sample was adjusted with its lines parallel and perpendicular to the plane of incidence. The diffraction efficiency, η, of the zeroth diffraction order, i.e. the reflectance, was measured at varying angles of incidence from −87° to −5° and from 5° to 87° with a step width of 2°.

Tables Icon

Table 1. Comparison: Measurement results and nominal values

 figure: Fig. 1

Fig. 1 The four measurement configurations differing in polarization and sample orientation. a) s-polarization, transverse magnetic (TM), b) p-polarization, transverse electric (TE), c) s-polarization, TE, d) p-polarization, TM; POI: plane of incidence.

Download Full Size | PDF

3. Modeling and simulations

For the simulations of the measurement results the finite element method (FEM) based software package JCMSuite [19] was used. It solves Maxwell’s equations on an elementary cell of the grating. As compared with other approaches such as the widely used rigorous coupled wave analysis (RCWA), FEM-based Maxwell solvers are much more convenient and time-efficient for the modeling of complex or tiny structure details. And tiny features are often of relevance for an appropriate modeling of scatterometric data. For example we previously showed that scatterometry is sensitive to (bottom) corner rounding [17]. Finally, it is the way geometries are defined, which makes FEM so flexible: it accepts arbitrary polygons to describe the material domains.

The material domains are defined by a set of geometry parameters. For Si gratings we use by default a parameterization consisting of a symmetric silicon trapezoid with a surrounding oxide layer, and with rounded corners (CR trapezoid model, Fig. 2). It is described by a set of seven geometry parameters (oxide layer thickness, structure height, bottom and top corner rounding radius, CD at half height, side-wall angle, and grating period ( = pitch)). Though this model is intuitively accessible, it has some mathematical drawbacks: it can result in unphysical points of discontinuity (for example at x = -pitch/2 or at x = 0 when the corner radii are too large). To anticipate the outcome: When using this model for one of our samples discussed later, we got an unphysical final result. So, here we decided to use a more sophisticated geometry parameterization based on a rational Bézier curve. Details on the geometry construction can be found in the appendix. With this technique, smooth and continuous cross-sections and thus physically realistic geometries are guaranteed. Furthermore, the flexibility of this geometry model is enhanced as compared with the CR-trapezoid model, whereas the number of free model parameters remains the same. However, instead of the corner rounding radii CRtop and CRbot, we now have the adjustable weight parameters Wtop and Wbot for the top and bottom corners.

 figure: Fig. 2

Fig. 2 Geometrical parameters describing the material domains of the grating’s elementary cross-section cell.

Download Full Size | PDF

To complete the cross-section model, the material parameters, i.e. the refractive indices at the illumination wavelength, are necessary. Furthermore, additional information about the type and dimensions of the expected silicon oxide layer would be helpful. To determine these values we used spectroscopic ellipsometry on an identically processed but unstructured silicon sample. In the data evaluation, we started with the literature values for silicon oxide and silicon [20] to obtain a first estimate for the silicon oxide thickness.

In the next step, the layer model was enhanced by introducing an interface layer between the silicon and its oxide (calculated as a 50:50 mixture with Bruggeman’s effective medium approximation (EMA) [21]), and a carbon layer on top [22] (accounting for possible contaminations due to the manufacturing process). Re-evaluating the ellipsometric measurements with this new model provides the thicknesses for the two additional layers. Both values are about 0.8 nm and thus of an order of magnitude that appears to be plausible (greater than zero and smaller than the natural oxide thickness). Nevertheless the Bayesian information criterion [23] favors the enhanced layer model only slightly. Therefore we decided to use the thicknesses of the carbon and the EMA layer, and to fix these during the data evaluation of the measurements performed on the grating samples.

In conclusion, we used the following refractive indices: nair = 1, nC = 2.74 + 0.26i [22], nSiO2 = 1.51 [20], nEMA = 1.77 + 1.89i, nSi = 1.91 + 4.43i [20], and the fixed heights hC = 0.82 nm and hEMA = 0.84nm.

We know from other measurements and other samples with larger periods manufactured by the HZB in an identical process that the grating periods agree with the nominal values much better than 1 nm (e.g. we diffractometrically [24] confirmed the nominal value of a 250 nm pitch sample to be 250.007 nm ± 0.005 nm). So, here the period of the gratings was also kept fixed. Six free geometry parameters remain and have to be fitted: oxide layer thickness (hSiO2), structure height (h), CD at half height, side-wall angle (swa), and the two corner weights (Wbot and Wtop).

4. Data evaluation

Data evaluation in scatterometry means solving the inverse problem of diffraction to find the parameters describing the surface under test. As the solution for this problem cannot be found by direct inversion, the best fitting solution has to be found within reasonable parameter ranges by optimization. The data evaluation is based on the simultaneous comparison of the simulated reflectance ηsim with the measured reflectance ηmeas obtained at all angles of incidence (AOI) and all configurations. The task is to find the N( = 6) dimensional fit parameter vector θ which minimizes the objective function, i.e. the mean square difference (MSD)

MSD(θ)=1nconfigAOI(ηmeas,AOIηsim,AOI(θ))2,
where n is the number of all measurements. For that purpose we applied a two-step optimization procedure. We started with the differential evolution method [25], a derivative-free algorithm commonly used for global optimization. Close to the global minimum we switched – for performance reasons – to a Nelder Mead optimizer [26]. At the global minimum, the best fitting parameter vector θ* could be extracted. By assuming normally distributed residuals, we can estimate the goodness of fit with the help of the co-variance matrix Σθ. It can be derived from the numerically calculated Hessian matrix at the optimum
(θ*):=(2MSDθiθj(θ*))i,j=1,...,N.
to
Σθ=2MSD(θ*)1(θ*).
So the best fit parameter vector θ*, together with the co-variance matrix Σθ, represents the final result.

In the Bézier model the pure numbers are hard to interpret. For better intuitive accessibility we transform the results to the corner rounding model. Here it is only necessary to transfer the outer contour (the one in contact with air) from one model to the other, while keeping the results of the respective oxide layer height. This is done by a least squares fit, in which the residual area between the optimal Bézier model contour and the corner rounding test contour is minimized. Here Boolean polygon operators (as also mentioned in the appendix) are helpful to determine these areas.

So, we first determine θ*CR, the transferred CR model parameters that belong to the best fit parameters θ* of the Bézier model. And then we estimate its co-variance Σθ,CR by generating a large set of parameter vectors {θi} (here with 10000 entries), which are subject to the multivariate normal distribution 𝒩(θ*,Σθ), and derive from that the respective best-fitting θCR,i’s and from them their distribution. As a result, one finally obtains θ*CR and Σθ,CR.

Note: We generate a correlated set of parameter vectors θi by setting

θi:=θ*+LXi.
with L derived from the Cholesky decomposition of Σθ, i.e. fulfilling LLT = Σθ, and Xi being a vector with normally distributed random components, each with zero mean and unit variance.

5. Results

Figure 3 shows exemplarily the measured reflectance for two of four samples, together with the corresponding best-fit simulation results. Typical deviations of MSD1/2(θ*)≈0.4% were obtained. The completely different reflectance curves (especially in the TM cases) are very remarkable – as is the very good agreement between simulation and experiment.

 figure: Fig. 3

Fig. 3 Measured diffraction efficiencies (dots) and best fitting simulated efficiencies for the four different measurement configurations. Left: For the structure with a nominal pitch/height/CD of 50/50/25 nm; right: for the structure with a nominal pitch/height/CD of 100/100/55 nm. (AOI: angle of incidence).

Download Full Size | PDF

For these two samples, cross-section SEM images of identically manufactured samples are available. In Fig. 4, the resulting structure profiles are compared to those images. Note that a non-metrological inspection SEM was used here and that finding the structure edges in SEM images is a quite challenging metrological task [15]. So this comparison can only be qualitative. But as can be seen, the cross-section profiles obtained optically agree almost perfectly with those measured with the SEM.

 figure: Fig. 4

Fig. 4 Comparison of the reconstructed profiles with cross-section SEM images obtained from identically processed samples, with pitch/height/CD of 50/50/25 nm (left) and 100/100/55 nm (right). In red the oxide (incl. the carbon and the EMA layer) and in mint the silicon are shown. (Note that the best-fit profiles have been compressed a little bit in y-direction to compensate the perspective mismatch.)

Download Full Size | PDF

The nominal design parameters and the results obtained for all four samples are summarized in Table 1 (the standard deviations are given in parenthesis). For the sample with the smallest grating structure, which therefore is of greatest interest, the correlation matrix is additionally given in Table 2. Please recall: all given parameters in Tables 1 and 2 are defined in the corner rounding radius model, while the original fitting has been performed with the help of the Bézier model (see above).

Tables Icon

Table 2. Correlation parameters for the smallest structure with pitch/height/CD of 50/50/25 nm

For all four samples we have calculated the standard deviations of their mean outer contours from the multivariate distributions 𝒩(θ*,Σθ). The resulting boundaries (mean contour ± standard deviation) then include the whole information of the respective co-variance matrices, i.e. also all correlations. These standard deviation areas for the outer contours are shown in Fig. 5.

 figure: Fig. 5

Fig. 5 The standard deviation areas of the outer contour for all four samples (nominal values are given). Calculation of the standard deviation areas: We first generated the mean contour (belonging to θ*) and 10000 𝒩(θ*,Σθ) distributed single test contours. For an equidistant set of points on the mean contour we calculated the distances to each test contour (in normal direction) and then the standard deviations of the respective distance histograms were determined.

Download Full Size | PDF

6. Summary and outlook

We have shown that we can scatterometrically measure silicon structures with feature sizes down to a tenth of the inspection wavelength, which corresponds to a CD of about 25 nm. We performed a combined data analysis of the results obtained in four different measurement configurations using the least squares method with the differential evolution technique as global and a simplex-based local optimizer. A Bézier curve-based geometry model was developed and used, which overcomes the inadequacies of the simpler corner rounding model. A co-variance analysis was presented to give an impression of the soundness of the results.

From these results several interesting future topics emerge:

  • Structure sensitivity: In the measurements shown here, we profit from the strong dependence of the measured reflectance on the sample orientation and the structuring (please compare the plots in Fig. 3 to the Fresnel reflection curves for an unstructured sample). Actually our simulations show that for TM polarization and certain structure geometries resonances occur which lead to strong absorbance close to 100% (e.g. by repeating the simulation shown in Fig. 3, right, configuration d, AOI ≈80°, but with a CD of about 66 nm). To understand this, it is important to note that at the inspection wavelength of 266 nm silicon acts optically as a metal (permittivity is complex and its real part is negative). In [18] the authors deal with such subwavelength metal gratings and explain this phenomenon with the excitation of a quasi-static surface plasmon polariton (SPP). Furthermore, they offer a method which then allows a simplified calculation of the reflectance (valid for simple metallic subwavelength gratings, homogenous material, vertical side-walls, no corner rounding). This method is to be used in the future to quickly find optimal experimental conditions (i.e. AOI and wavelength with highest sensitivity to structure parameters) for scatterometry and also for spectroscopic ellipsometry instead of performing extensive sensitivity studies with a Maxwell solver.
  • Uncertainty budget: Here we only considered the statistical uncertainty contribution, the co-variance matrices for the best-fit results. For a full uncertainty budget, many more additional contributions have to be taken into account [27]. We have extensively tested a number of these contributions [17]. Many of the tool-dependent contributions turned out to be negligibly small. However, the largest influences still accompany the sample structures themselves and, therefore, the selected geometry and material model. Also for the examples shown here, the residuals between measured and best-fitted intensities indicate some minor systematic deviations. This issue should be addressed in the same manner as described above for the unstructured case: The Bayesian information criterion can be used to find an even more appropriate model (e.g. with an additional Bézier control point, or with varying carbon and/or EMA layer height). Unfortunately this is currently very time-consuming. But we will address this time problem by implementing new techniques like the reduced basis method [28] and the surrogate method [29].
  • Hybrid metrology: In future work, we will combine the presented technique with spectroscopic Mueller matrix measurements, thus adding the spectroscopic degree of freedom and exploiting the full polarization encoded structure information. Recently, significant progress has been achieved with respect to metrological Mueller matrix measurements [30,31]. Thereby, the preconditions are available to combine them with the metrological goniometric scatterometry method presented here on a sound mathematical basis. With the aid of this hybrid metrology approach [32–34] and the corresponding additional measurement data, the sensitivity with respect to different structure parameters and the associated measurement uncertainties are expected to be improved further. With it we expect to also meet future industrial requirements [14].

Appendix Model geometry construction

In the symmetric case only the left half of the elementary cell needs to be defined. We describe the geometry with the help of a rational Bézier curve: First, four points, the so-called control points, depending on the trapezoidal basic structure, have to be set. These are P0 = (x1 = -pitch/2,y1 = 0), P1 = (-CDSi/2-Δ,0), P2 = (-CDSi/2+Δ,h), and P3 = (0,h), with Δ = h/(2 tan(swa)). With the related weights w=[1,w1=10^Wbot,w2=10^Wtop,1] (Note: The exponential definition of the weights is beneficial to the fitting process and it guarantees positive weights, which ensures that a convex corner does not change into a concave one, and vice versa.), the Bézier curve

Β(t)=(i=0n(ni)ti(1t)niPiwi)/(i=0n(ni)ti(1t)niwi).
can be calculated at each parameter t∈[0,1] (here n = 3). Note that the endpoints are B(t = 0) = P0 and B(t = 1) = P3. Due to a special design property of P, namely P0,y = P1,y and P2,y = P3,y, the slope equals 0 at the endpoints of B, so that a periodic extension can be performed without discontinuity!

Now a polygonal chain describing the Bézier curve can be constructed step by step for discrete values of t, starting with t=0.5.

We suggest defining the significance of each vertex for the polygonal chain by si=|a||b| arccos(a·b/(|a||b|)) with a=Bi-Bi-1 and b=Bi+1-Bi. This information can be used to decide whether and, if so, where to set an additional vertex. Also a minimal vertex distance can be used as an additional condition. As a result we get the polygonal chain representing the silicon surface in the left half of the elementary cell. This can then be extended easily to a closed polygon in the full elementary cell.

Next a polygon representing the oxide layer needs to be defined. This is not a trivial problem, but the solution is straight-forward when using Boolean operations on polygons [35, 36]. First, circles with radii matching the requested oxide layer thickness need to be drawn around every silicon surface vertex Bi. Then the convex hull of neighbored circles and from the obtained polygon sets the union set has to be calculated. From that polygon, one finally has to remove the intersection area with the silicon polygon to obtain the oxide layer polygon.

Note: With this technique one can easily account for the fact that oxide not only grows on top but also into the silicon (then the intersection area of the oxide polygon with the air polygon has to be removed). In our model, 54% of the oxide virtually grows on top and the remaining 46% into the silicon (default value for thermally grown silicon dioxide [37]).

Note: It might also be advisable to introduce curvature-dependent oxide thicknesses. In the formalism described above, this can be easily implemented by correcting the uniform nominal radii with a local curvature-dependent term.

Funding

European Metrology Research Programme (EMRP) Project IND17 (912/2009/EC).

References and links

1. C. J. Raymond, in Handbook of Silicon Semiconductor Metrology, (Dekker, 2001), p 477.

2. C. J. Raymond, M. R. Mumane, S. S. H. Naqvi, and J. R. McNeil, “Metrology of subwavelength photoresist gratings using optical scatterometry,” J. Vac. Sci. Technol. B 13(4), 1484 (1995). [CrossRef]  

3. B. K. Minhas, S. A. Coulombe, S. S. H. Naqvi, and J. R. McNeil, “Ellipsometric scatterometry for the metrology of sub-0.1-μm-linewidth structures,” Appl. Opt. 37(22), 5112–5115 (1998). [CrossRef]   [PubMed]  

4. C. J. Raymond, M. R. Murnane, S. L. Prins, S. S. H. Naqvi, J. W. Hosch, and J. R. McNeil, “Multiparameter grating metrology using optical scatterometry,” J. Vac. Sci. Technol. B 15(2), 361–368 (1997). [CrossRef]  

5. I. Kallioniemi, J. Saarinen, and E. Oja, “Optical scatterometry of subwavelength diffraction gratings: neural-network approach,” Appl. Opt. 37(25), 5830–5835 (1998). [CrossRef]   [PubMed]  

6. C. J. Raymond, “Overview over scatterometry applications in high volume silicon manufacturing,” AIP Conf. Proc. 788, 394–402 (2005). [CrossRef]  

7. D. C. Wack, J. Hench, L. Poslavsky, J. Fielden, V. Zhuang, W. Mieher, and T. Dziura, “Opportunities and challenges for optical CD metrology in double patterning process control,” Proc. SPIE 6922, 69221N (2008). [CrossRef]  

8. N. Kumar, P. Petrik, G. K. P. Ramanandan, O. El Gawhary, S. Roy, S. F. Pereira, W. M. J. Coene, and H. P. Urbach, “Reconstruction of sub-wavelength features and nano-positioning of gratings using coherent Fourier scatterometry,” Opt. Express 22(20), 24678–24688 (2014). [CrossRef]   [PubMed]  

9. W. Osten, V. Ferreras Paz, K. Frenner, T. Schuster, H. Bloess, E. M. Secula, D. G. Seiler, R. P. Khosla, D. Herr, C. Michael Garner, R. McDonald, and A. C. Diebold, “Simulations of Scatterometry Down to 22 nm Structure Sizes and Beyond with Special Emphasis on LER,” AIP Conf. Proc. 1173, 371–378 (2009). [CrossRef]  

10. J. Zhu, Y. Shi, L. L. Goddard, and S. Liu, “Application of measurement configuration optimization for accurate metrology of sub-wavelength dimensions in multilayer gratings using optical scatterometry,” Appl. Opt. 55(25), 6844–6849 (2016). [CrossRef]   [PubMed]  

11. M. H. Madsen and P. E. Hansen, “Scatterometry - fast and robust measurements of nano-textured surfaces,” Surf. Topogr.: Metrol. Prop. 4(2), 023003 (2016). [CrossRef]  

12. M. Sendelbach and C. Archie, “A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM,” Proc. SPIE 5038, 224 (2003). [CrossRef]  

13. T. A. Germer, H. J. Patrick, and R. G. Dixson, “A Traceable Scatterometry Measurement of a Silicon Line Grating,” in Frontiers of Characterisation and Nanoelectronics: AIP Conf. Proc. 1395 (2011), 309.

14. B. Bunday, T. A. Germer, V. Vartanian, A. Cordes, A. Cepler, and C. Settens, “Gaps analysis for CD metrology beyond the 22nm node,” Proc. SPIE 8681, 86813B (2013). [CrossRef]  

15. C. G. Frase, E. Buhr, and K. Dirscherl, “CD characterization of nanostructures in SEM metrology,” Meas. Sci. Technol. 18(2), 510–519 (2007). [CrossRef]  

16. M. Wurm, S. Bonifer, B. Bodermann, and J. Richter, “Deep ultraviolet scatterometer for dimensional characterization of nanostructures: system improvements and test measurements,” Meas. Sci. Technol. 22(9), 094024 (2011). [CrossRef]  

17. J. Endres, A. Diener, M. Wurm, and B. Bodermann, “Investigations of the influence of common approximations in scatterometry for dimensional nanometrology,” Meas. Sci. Technol. 25(4), 044004 (2014). [CrossRef]  

18. J. Le Perchec, P. Quémerais, A. Barbara, and T. López-Ríos, “Why metallic surfaces with grooves a few nanometers deep and wide may strongly absorb visible light,” Phys. Rev. Lett. 100(6), 066408 (2008). [CrossRef]   [PubMed]  

19. JCMSuite from JCMwavehttp://www.jcmwave.com/jcmsuite

20. C. M. Herzinger, B. Johs, W. A. McGahan, J. A. Woollam, and W. Paulson, “Ellipsometric determination of optical constants for silicon and thermally grown silicon dioxide via a multi-sample, multi-wavelength, multi-angle investigation,” J. Appl. Phys. 83(6), 3323–3336 (1998). [CrossRef]  

21. D. A. G. Bruggeman, “Berechnung verschiedener physikalischer Konstanten von heterogenen Substanzen. I. Dielektrizitätskonstanten und Leitfähigkeiten der Mischkörper aus isotropen Substanzen,” Ann. Phys. (Leip.) 416(7), 636–664 (1935). [CrossRef]  

22. J. I. Larruquert, L. V. Rodríguez-de Marcos, J. A. Méndez, P. J. Martin, and A. Bendavid, “High reflectance ta-C coatings in the extreme ultraviolet,” Opt. Express 21(23), 27537–27549 (2013). [CrossRef]   [PubMed]  

23. G. Schwarz, “Estimating the dimension of a model,” Ann. Stat. 6(2), 461–464 (1978). [CrossRef]  

24. E. Buhr, W. Michaelis, A. Diener, and W. Mirandé, “Multi-wavelength VIS/UV optical diffractometer for high-accuracy calibration of nano-scale pitch standards,” Meas. Sci. Technol. 18(3), 667–674 (2007). [CrossRef]  

25. R. Storn and K. Price, “Differential Evolution – A Simple and Efficient Heuristic for Global Optimization over Continuous Spaces,” J. Glob. Optim. 11(4), 341–359 (1997). [CrossRef]  

26. J. A. Nelder and R. Mead, “A simplex method for function minimization,” Comput. J. 7(4), 308–313 (1965). [CrossRef]  

27. T. A. Germer, H. J. Patrick, R. M. Silver, and B. Bunday, “Developing an uncertainty analysis for optical scatterometry,” Proc. SPIE 7272, 72720T (2009). [CrossRef]  

28. J. Pomplun and F. Schmidt, “Reduced basis method for electromagnetic field computations,” in Scientific Computing in Electrical Engineering SCEE 2008, (Springer, 2010), 85–92.

29. S. Heidenreich, H. Gross, M. A. Henn, C. Elster, and M. Bär, “A surrogate model enables a Bayesian approach to the inverse problem of scatterometry,” J. Phys. Conf. Ser. 490(1), 012007 (2014). [CrossRef]  

30. Y. J. Cho, W. Chegal, J. P. Lee, and H. M. Cho, “Universal evaluations and expressions of measuring uncertainty for rotating-element spectroscopic ellipsometers,” Opt. Express 23(12), 16481–16491 (2015). [CrossRef]   [PubMed]  

31. R. Ossikovski and O. Arteaga, “Integral decomposition and polarization properties of depolarizing Mueller matrices,” Opt. Lett. 40(6), 954–957 (2015). [CrossRef]   [PubMed]  

32. R. M. Silver, B. M. Barnes, N. F. Zhang, H. Zhou, A. Vladár, J. Villarrubia, J. Kline, D. Sunday, and A. Vaid, “Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model,” Proc. SPIE 9050, 905004 (2014). [CrossRef]  

33. S. Zangooie, J. Li, K. Boinapally, P. Wilkens, A. Ver, B. Khamsepour, H. Schroder, J. Piggot, S. Yedur, Z. Liu, and J. Hu, “Z. Liu J. Hu, “Enhanced optical CD metrology by hybridization and azimuthal scatterometry,” Proc. SPIE 9050, 90501G (2014). [CrossRef]  

34. S. Heidenreich, H. Gross, M. Wurm, B. Bodermann, and M. Bär, “The statistical inverse problem of scatterometry: Bayesian inference and the effect of different priors,” Proc. SPIE 9526, 95260U (2015). [CrossRef]  

35. B. R. Vatti, “A Generic Solution to Polygon Clipping,” Commun. ACM 35(7), 56–63 (1992). [CrossRef]  

36. General Polygon Clipper libraryhttp://www.cs.man.ac.uk/~toby/alan/software//

37. L. Filipovic, “Topography simulations of novel processing techniques”, Dissertation, Technische Universität Wien, Fakultät für Elektrotechnik und Informationstechnik, (2012), http://www.iue.tuwien.ac.at/phd/filipovic/

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1
Fig. 1 The four measurement configurations differing in polarization and sample orientation. a) s-polarization, transverse magnetic (TM), b) p-polarization, transverse electric (TE), c) s-polarization, TE, d) p-polarization, TM; POI: plane of incidence.
Fig. 2
Fig. 2 Geometrical parameters describing the material domains of the grating’s elementary cross-section cell.
Fig. 3
Fig. 3 Measured diffraction efficiencies (dots) and best fitting simulated efficiencies for the four different measurement configurations. Left: For the structure with a nominal pitch/height/CD of 50/50/25 nm; right: for the structure with a nominal pitch/height/CD of 100/100/55 nm. (AOI: angle of incidence).
Fig. 4
Fig. 4 Comparison of the reconstructed profiles with cross-section SEM images obtained from identically processed samples, with pitch/height/CD of 50/50/25 nm (left) and 100/100/55 nm (right). In red the oxide (incl. the carbon and the EMA layer) and in mint the silicon are shown. (Note that the best-fit profiles have been compressed a little bit in y-direction to compensate the perspective mismatch.)
Fig. 5
Fig. 5 The standard deviation areas of the outer contour for all four samples (nominal values are given). Calculation of the standard deviation areas: We first generated the mean contour (belonging to θ*) and 10000 𝒩(θ*,Σθ) distributed single test contours. For an equidistant set of points on the mean contour we calculated the distances to each test contour (in normal direction) and then the standard deviations of the respective distance histograms were determined.

Tables (2)

Tables Icon

Table 1 Comparison: Measurement results and nominal values

Tables Icon

Table 2 Correlation parameters for the smallest structure with pitch/height/CD of 50/50/25 nm

Equations (5)

Equations on this page are rendered with MathJax. Learn more.

MSD( θ )= 1 n config AOI ( η meas,AOI η sim,AOI ( θ ) ) 2 ,
( θ * ):= ( 2 MSD θ i θ j ( θ * ) ) i,j=1,...,N .
Σ θ =2MSD( θ * ) 1 ( θ * ).
θ i := θ * +L X i .
Β( t )=( i=0 n ( n i ) t i ( 1t ) ni P i w i )/( i=0 n ( n i ) t i ( 1t ) ni w i ).
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.