Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Resonant gratings with an etch-stop layer and a fabrication-error tolerant design

Open Access Open Access

Abstract

Sub-wavelength gratings (SWG) have shown much promise for applications such as lightweight high bandwidth reflectors, polarising filters and focusing lenses. Unfortunately, grating performance may be rapidly degraded through variability in grating dimensions. We demonstrate, in particular, how an error in depth of etch can be detrimental to the performance of zero contrast grating reflectors. We mitigate the impact of this fabrication error through the introduction of an etch stop layer and in so doing we experimentally realise a high bandwidth reflector based on this modified structure. Another common fabrication error is variation in the duty-cycle of fabricated gratings. This duty-cycle variation can weaken grating performance, however we demonstrate that grating designs that exhibit tolerance to duty-cycle fluctuation can be identified through simulation. Finally, we discuss the impact of lateral etching and the resulting sidewall concavity. We present our approach for numerically predicting the spectral response from such a grating and also for convenience we outline an approach for quickly approximating grating performance. Good agreement is observed between these numerical predictions and measurements made on a HCG with concave sidewalls.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Sub-Wavelength Gratings (SWG), so-called because the grating period is smaller than the wavelength of incident light, have attracted much recent attention due to their interesting and useful properties. When the grating parameters are tuned appropriately, the grating can function as a broadband reflector [1,2] or as a narrow line filter with ultra high Q-factor [2–5]. It has also been shown that the phase of reflection can be spatially controlled to yield focussing lenses and mirrors based on such a grating structure [6,7]. Initially, it was reported that the high refractive index grating material should be completely surrounded by a low refractive index material, such as air [8], alternatively the grating layer should sit atop a low refractive index substrate material and be surrounded by air on all other sides [1], a High Contrast Grating (HCG). The broadband reflectance was understood to originate from coupled Bloch modes [9] which interfere at the exiting plane [10] to cause 100% reflectance and the contrast in refractive index was considered crucial. It has since been shown that this high contrast interface is not required [11]. Broadband reflectance was reported even when the grating ries were index matched to the layer beneath, with a bandwidth exceeding those previously reported for HCGs. As explained by Ding [12], the broadband high reflectivity results from a Guided Mode Resonance (GMR) where the incoming wave is phase matched to the leaky waveguide mode of the grating. Niraula [13] reported a 44% fractional bandwidth of high reflectivity (R > 0.97) using this Zero Contrast Grating (ZCG) structure. A HCG was used to replace the top Distributed Bragg Reflector (DBR) in a Vertical Cavity Surface Emitting Laser (VCSEL) [10] while Learkthanakhachon [14] used both a hybrid grating and a HCG in a resonant cavity enhanced photodetector. The majority of work to date has revolved around wavelengths in the near IR wavelength region up to 3 μm. While there are many applications in the near IR wavelength range, applications at longer wavelengths such as sensing, gas detection, thermal imaging and communications have great potential for further development. Applications such as these could benefit from the use of SWGs operating at longer wavelengths such as a HCG based broadband reflector operating around 10 μm [15]. Fabrication of these devices pose some challenges, particularly in relation to the etch dynamics of the device. Etching errors stemming from these challenges can impact on both the thicknesses and Duty-Cycle (DC) of the grating which in turn have an impact on the spectral response of the device.

In this paper we demonstrate a number of ways in which device fabrication can be made robust and tolerant to fabrication errors. Firstly, we outline the impact of etch depth errors on grating performance. We demonstrate that this is an issue in particular for ZCGs and propose a new device structure which allows the etch depth to be controlled closely. We realise a broadband reflector with this new device structure which shows agreement with our numerical predictions. We also investigate the effect that variations in grating duty-cycle arising from fabrication errors can have on grating performance. We show methods that guide grating designs which are tolerant to these duty-cycle variations through the use of reflectivity contour plots. We numerically show that the effect of unwanted sidewall concavity can be approximated as a reduction in the grating duty-cycle and can thus be accommodated by grating design tolerances.

2. Grating design

The ZCG structure as reported in [11] is shown in Fig. 1(a). This structure consists of a periodically spaced layer of high refractive index material of thickness dg which sits on top of a homogeneous high index layer of thickness dh. These two layers in turn sit atop a low index substrate. In this work we introduce a variant of the ZCG which we term the Etch Stop ZCG (ES-ZCG). In this structure an extra layer of thickness (de) is sandwiched between the two high index layers of the ZCG. A schematic diagram of this structure is provided in Fig. 1(b). The duty-cycle is defined as the ratio of the grating bar width (w) to the period (Λ) (duty-cycle = w/ Λ). Additionally in Fig. 1(c) we show a schematic diagram of a HCG with high index bars of thickness tg atop a low index substrate. As previously described [15] suitable materials for grating fabrication around 10 μm are Germanium (Ge) shown as blue in Fig. 1 and Strontium Fluoride (SrF2) shown as Grey in Fig. 1.

 figure: Fig. 1

Fig. 1 (a) Schematic diagram of ZCG structure.(b) Schematic diagram of ES-ZCG structure. (c) Schematic diagram of HCG structure.

Download Full Size | PDF

Rigorous Coupled Wave Analysis (RCWA) [16–19] was used to calculate the spectral response of the gratings and for ease of simulation a number of approximations were made. It was assumed that a plane wave was normally incident on our structure with TM polarisation (Magnetic field vector parallel to the grating bars). It was also assumed that the materials used were lossless and non-dispersive.

Determining the optimum parameters for a ZCG requires more consideration than for an equivalent HCG as ZCGs have 2 thickness parameters dg and dh. Checkerboard plots [9] are a useful visual tool for grating design and optimisation. However, as ZCGs have one extra thickness parameter, we use a different method for parameter selection. The continuous bandwidth where R > 0.97 is colour-plotted as a function of both the dg and dh thicknesses for a duty-cycle of 0.64 in Fig. 2(a). The bandwidth approaches a maximum for dh values around 400 nm and dg values around 2400 nm. The dashed white line in Fig. 2(a) represents a total stack thickness of 2800 nm and passes through the optimum point on the bandwidth plot. The fluctuation of the bandwidth along this line highlights the sensitivity of the ZCG to etch depth and thus the thicknesses dg and dh. The optimum grating parameter set was chosen as (Λ = 4 μm, duty-cycle = 64%, dg = 2400 nm, dh = 400 nm). For R>0.97 this ZCG has a fractional bandwidth of 33.9% which compares favourably with previously reported bandwidths [1–3,11,12,20].

 figure: Fig. 2

Fig. 2 (a) Continuous bandwidth as a function of thicknesses dg and dh for a duty-cycle of 64%. Colorbar shows bandwidth in μm (b) Spectral response for target etch depth, 100 nm over-etch and 100 nm under-etch.

Download Full Size | PDF

To better understand the impact of the sensitivity of the grating to over and under-etching we plot three reflectivity spectra in Fig. 2(b). In this plot, the blue line shows the spectral response of a ZCG with target parameters as obtained from the bandwidth plot above. The red line shows that a 100 nm over-etch has a significant impact on the bandwidth of the reflectivity curve. In this case it is characterised by a large dip in the middle of the reflection band. The yellow plot shows the reflectivity curve for an 100 nm under-etch. While no large dips are observed when the grating is under-etched, it is noted that the fractional bandwidth reduces from 33.9% to 29.1%. A 100 nm etching error corresponds to only 3.6% of our stack thickness while our etch rate is susceptible to multiple factors such as substrate temperature, chamber pressure or bias voltage. Thus, in order to fabricate these gratings and achieve high reflectivity as well as large bandwidth, control of the etch depth and as a result the thicknesses dg and dh, becomes crucial.

3. Etch stop zero contrast grating (ES-ZCG)

In the ES-ZCG structure an etch-stop layer is introduced such that the thicknesses dg and dh are controlled during the deposition phase, thereby mitigating the variability in etch depth. The primary considerations for this etch-stop layer is that it should have high etch selectivity with the Ge etch chemistry, it should be compatible with deposition of the layered structure and that it should not degrade the performance of the grating.

For the ES-ZCG the impact of the etch-stop layer index is investigated using simulations. In Fig. 3(a) we investigate the impact of including a 100 nm etch-stop layer of various indices in our grating structure which roughly approximate the indices of materials such as air, SiO2, ZnSe and Ge. For n = 3.4 (approximating silicon) the calculated reflectivity curve closely matches that of Ge (n = 4). As shown, for our chosen grating parameter set there is minimal impact in terms of shape and bandwidth of the reflectivity curve when n > 2.4, even without subsequent re-optimisation of the other grating parameters once the etch-stop layer has been introduced.

 figure: Fig. 3

Fig. 3 (a) Spectral response of grating for various etch-stop layer refractive index values.(b) Spectral response of grating for various etch-stop layer extinction coefficient values (imaginary part of n). (c) Spectral response of grating with an etch-stop layer refractive index of 1.5. (d) Spectral response of grating for various Zinc Selenide (ZnSe) etch-stop layer thicknesses and an optimised grating for a 100 nm etch-stop layer.

Download Full Size | PDF

As resonant structures the gratings in general are highly sensitive to material loss. In Fig. 3(b) we introduce finite loss in the etch-stop layer with the imaginary part of the refractive index (k) varying between 0 and 0.1. Small values of loss can be accommodated without compromising reflector performance, for our design an etch-stop layer material with k = 0.005 would allow us to maintain bandwidth with R > 0.99.

In this work we chose ZnSe with refractive index 2.4 as our etch-stop layer material as suggested by Fig. 3(a). Nonetheless it should be noted that the grating parameters can be re-optimised to accommodate an etch-stop material with a lower refractive index. Parameter scans can be carried out on the other grating parameters to identify an optimum set which maximises bandwidth for a lower index of 1.5. Figure 3(c) shows the spectral response of an ES-ZCG containing an etch-stop layer with a refractive index of 1.5. The re-optimised grating (Λ = 4 μm, duty-cycle = 75 %, dg = 2520 nm, dh = 400 nm, de = 100 nm, etch-stop index = 1.5) illustrates that low index materials may be used without significant penalty so long as they don’t have significant absorption in the operating wavelength range.

As well as having a refractive index of 2.4, ZnSe is also loss free in our operational wavelength range [21]. Etch selectivity with the Ge etch chemistry was determined by covering one half of a ZnSe sample with photoresist and etching in the Ge chemistry. Following a three min etch (≈ 400 nm Ge), surface profile measurements showed no noticable step in the ZnSe surface indicating high etch selectivity between Ge and ZnSe.

The sensitivity of grating performance to etch-stop thickness is also investigated. In Fig. 3(d) we model the grating with etch-stop thicknesses of 0, 100 and 200 nm without re-optimisation of the other grating parameters; we also model with an etch-stop thickness of 100 nm with re-optimisation. The impact of the etch-stop layer is minor, with a reduction of the bandwidth from 33.9% to 32.8% with de = 100 nm using our optimised grating parameters. The insensitivity to etch-stop thickness shows that deposition errors are not a significant concern.

The fabricated width of the grating bars and thus the duty-cycle can have an impact on device performance. Fabrication errors in duty-cycle can occur during the lithography or etching stages of fabrication. However, at design time one can choose target dimensions that will lead to a high tolerance to duty-cycle variation [22]. This approach is illustrated in Figs. 4(a) and 4(b) where contours for 97% reflectivity at multiple duty-cycles are plotted such that the area contained within each contour yields R > 0.97. In both cases the target bandwidths are comparable, with fractional bandwidths R>0.97 of 37% and 38.1% respectively. However, as the duty-cycle is varied by ±2% (≈ 80 nm) around target, the grating in Fig. 4(a) largely retains its performance (at dg/ Λ = 0.63) while that in Fig. 4(b) develops a reflectivity dip close to the center of the band.

 figure: Fig. 4

Fig. 4 (a) Contour plot of R>0.97 showing grating design which is tolerant to duty-cycle variation. (b) Contour plot showing duty-cycle variation sensitive design. (c) Contour plot showing high duty-cycle tolerance when reflectivity bandwidth requirements are relaxed.

Download Full Size | PDF

For some applications maximising the bandwidth of the reflector may not be important. We have modified Fig. 4(b) to show a wider range of duty-cycles in Fig. 4(c). Wideband reflectance is not maintained but for λ/Λ values between 2.6 and 3 enhanced duty-cycle tolerance is observed. Therefore, for applications where the bandwidth of high reflectivity is not critical the fabrication tolerance of the duty-cycle can be relaxed significantly.

We designed and fabricated a grating using the strategies outlined above. We used the grating parameters from Fig. 2(a) (Λ = 4 μm, duty-cycle = 64 %, dg = 2400 nm, dh = 400 nm) with ZnSe as our Etch-Stop layer of target thickness 100 nm. The procedure for fabrication is very similar to that outlined in [15] except that material deposition now occurs over 3 steps. The Ge layer (dh), the etch-stop layer (de) and the Ge grating layer (dg) are all deposited using e-beam evaporation.

After careful optimisation of the fabrication process a grating as shown in Fig. 5 was obtained. The etch-stop layer, which is visible in the image under the grating bar, has allowed the vertical etch to be terminated accurately and a sharp interface between grating bar and etch-stop layer is obtained. The modification and optimisation of the fabrication process has allowed us to deliver a grating structure which is close to the target grating structure and mitigate the impact of over or under etching the grating layer.

 figure: Fig. 5

Fig. 5 SEM image of fabricated ES-ZCG with Etch-Stop layer.

Download Full Size | PDF

The grating shown in Fig. 5 was fabricated using the process outlined above. The initial deposition thickness dh was 405 nm. The ZnSe thickness was 94 nm. The deposition for thickness dg is harder to control and the thickness obtained for the layer was 2337 nm. The etch time was carefully optimised and the lateral effect of the etch and thus sidewall concavity was minimal. As a result the duty-cycle obtained was 64 %.

Reflectance measurements were made on the ES-ZCG grating using a Quantum Cascade Laser (QCL) which is TM polarised relative to the grating and with operational wavelengths between 6.1 μm and 10.7 μm. A ZnSe beamsplitter was used to steer the incoming and reflected light appropriately as shown in the experimental setup diagram Fig. 6(a). This schematic shows the grating in place and a gold mirror with a known reflectivity spectrum was used as a reference in calculating the spectral response of our gratings. The measured reflectance spectrum of this ES-ZCG is shown in Fig. 6(b). There is good agreement in terms of the overall shape and the magnitude of the predicted and measured reflectivities.

 figure: Fig. 6

Fig. 6 (a) Experimental setup for reflectivity measurement using a Long infrared (LIR) laser and detector. (b) Theoretically predicted and experimentally measured spectral response of grating shown in Fig. 5.

Download Full Size | PDF

4. Non-rectangular grating bar profiles

Another challenge in terms of SWG fabrication is the effect of lateral etching of the grating bars resulting in concave sidewall profiles. In our ES-ZCG and in the HCG vertical etching is terminated at a fixed point due to high etch selectivity between Ge and the etch-stop layer in the ES-ZCG and the substrate in the HCG. There is an intrinsic variation in material etch rate from process to process and while this may be small, the large Ge thicknesses required in our wavelength range can lead to meaningful differences in etch time. This can lead to the device being over etched resulting in a lateral etching effect once the vertical etching is terminated. A minor level of this lateral etching is visible in Fig. 5 of the ES-ZCG. In Fig. 7(a) we show a HCG with pronounced concavity of the sidewalls due to lateral etching. We measured the geometric profile of this grating using a SEM and replicated this profile in our RCWA code by stacking large numbers of Ge layers atop one another with the grating bar widths varying according to the measured profile. The spectral response of our device can thus be predicted numerically.

 figure: Fig. 7

Fig. 7 (a) SEM image showing the concave grating profile of a HCG resulting from lateral etch due to etch time being too long. (b) Simulated vs measured reflectivity for HCG with concave sidewalls. Target grating parameter set (green), simulated concave sidewalls (blue), simulated averaged duty-cycle (purple) are compared to the measured reflectivity (red).

Download Full Size | PDF

In Fig. 7(b) the predicted reflectivty for a HCG with a 56% duty-cycle is shown in green. The predicted reflectivity of the grating with concave sidewalls is also shown in blue. The measured reflectivity of our HCG is shown in red. The ripples shown in Fig. 7(b) result from interference between back reflected light from the exiting plane of the substrate and reflected light from the grating layer. There is excellent agreement between the predicted and measured reflectivities. It can be time consuming to numerically predict the reflectivity profile of a grating with concave sidewalls as described above. By determining the average bar width of the grating shown in Fig. 7(a) an averaged duty-cycle can be determined. Using this averaged duty cycle the spectral response of the grating can be approximated as shown by the purple plot in Fig. 7(b). As shown in Fig. 4(a) a grating can be designed with duty-cycle tolerance. By approximating sidewall concavity to an averaging of the duty-cycle tolerance for sidewall concavity can be obtained using the procedure described with Fig. 4(a).

5. Discussion and conclusion

In conclusion, we have realised an ES-ZCG capable of operating as a broadband reflector in and around 10 μm. We identify the etch depth as a critical fabrication parameter and introduce an etch-stop layer which allows us to control with accuracy our etch depth. We identify Zinc Selenide as a suitable material for use in our grating but also show that with proper optimisation of grating parameters, materials such as SiO2 can also be incorporated into the device as an Etch-Stop layer material. While we are unable to use SiO2 due to its optical loss around 10 μm it could make an ideal Etch-Stop layer material in gratings targeting shorter wavelength applications. We plot continuous bandwidth as a function of thicknesses dg and dh to identify an optimum grating parameter set. Using a straight-forward approach we identify a set of grating parameters which exhibit strong tolerance to duty-cycle variation using the contour plots. Our fabricated grating closely resembles an ideal grating structure and after measuring reflectivity, good agreement with the theoretically predicted spectral response is observed.

Over-etching of SWGs can result in lateral etching of the grating layer which will impact on grating performance. We demonstrate that for a concave grating bar, averaging the bar width and thus duty-cycle allows for an excellent approximation of the spectral response of the grating, particularly at high reflectivities. By using reflectivity contour plots and identifying duty-cycle tolerant grating parameters, the impact of this lateral etching on grating performance can be reduced allowing for more robust fabrication of the device. For a HCG with concave sidewalls there is good agreement between theoretically predicted and measured reflectivities.

Funding

Science Foundation Ireland (12/RC/2276 (IPIC)); Irish Government's Programme for Research in Third Level Institutions, Cycle 5, Strand 1a (CREATE); Cork Institute of Technology (RISAM PhD scholarship programme).

References and links

1. C. F. R. Mateus, M. C. Y. Huang, Y. Deng, A. R. Neureuther, and C. J. Chang-Hasnain, “Ultrabroadband mirror using low-index cladded subwavelength grating,” IEEE Photonics Technol. Lett. 16, 518–520 (2004). [CrossRef]  

2. V. Karagodsky, F. G. Sedgwick, and C. J. Chang-Hasnain, “Theoretical analysis of subwavelength high contrast grating reflectors,” Opt. Express 18, 16973–16988 (2010). [CrossRef]   [PubMed]  

3. V. Karagodsky and C. J. Chang-Hasnain, “Physics of near-wavelength high contrast gratings,” Opt. Express 20, 10888–10895 (2012). [CrossRef]   [PubMed]  

4. R. Magnusson and S. S. Wang, “Transmission bandpass guided-mode resonance filters,” Appl. Opt. 34, 8106–8109 (1995). [CrossRef]   [PubMed]  

5. D. L. Brundrett, E. N. Glytsis, and T. K. Gaylord, “Normal-incidence guided-mode resonant grating filters: design and experimental demonstration,” Opt. Lett. 23, 700–702 (1998). [CrossRef]  

6. F. Lu, F. G. Sedgwick, V. Karagodsky, C. Chase, and C. J. Chang-Hasnain, “Planar high-numerical-aperture low-loss focusing reflectors and lenses using subwavelength high contrast gratings,” Opt. Express 18, 12606–12614 (2010). [CrossRef]   [PubMed]  

7. D. Fattal, J. Li, Z. Peng, M. Fiorentino, and R. G Beausoleil, “Flat dielectric grating reflectors with focusing abilities,” Nat. Photonics 4, 466 (2010). [CrossRef]  

8. Y. Zhou, M. C. Y. Huang, C. Chase, V. Karagodsky, M. Moewe, B. Pesala, F. G. Sedgwick, and C. J. Chang-Hasnain, “High-index-contrast grating (hcg) and its applications in optoelectronic devices,” IEEE J. Sel. Top. Quantum Electron. 15, 1485–1499 (2009). [CrossRef]  

9. P. Lalanne, J. P. Hugonin, and P. Chavel, “Optical properties of deep lamellar gratings: A coupled bloch-mode insight,” J. Lightwave Technol. 24, 2442 (2006). [CrossRef]  

10. C. J. Chang-Hasnain and W. Yang, “High-contrast gratings for integrated optoelectronics,” Adv. Opt. Photonics 4, 379–440 (2012). [CrossRef]  

11. R. Magnusson, “Wideband reflectors with zero-contrast gratings,” Opt. Lett. 39, 4337–4340 (2014). [CrossRef]   [PubMed]  

12. Y. Ding and R. Magnusson, “Resonant leaky-mode spectral-band engineering and device applications,” Opt. Express 12, 5661–5674 (2004). [CrossRef]   [PubMed]  

13. M. Niraula and R. Magnusson, “Unpolarized resonance grating reflectors with 44% fractional bandwidth,” Opt. Lett. 41, 2482–2485 (2016). [CrossRef]   [PubMed]  

14. S. Learkthanakhachon, A. Taghizadeh, G. C. Park, K. Yvind, and I.-S. Chung, “Hybrid iii–v/soi resonant cavity enhanced photodetector,” Opt. Express 24, 16512–16519 (2016). [CrossRef]   [PubMed]  

15. B. Hogan, S. P. Hegarty, L. Lewis, J. Romero-Vivas, T. J. Ochalski, and G. Huyet, “Realization of high-contrast gratings operating at 10 μm,” Opt. Lett. 41, 5130–5133 (2016). [CrossRef]   [PubMed]  

16. M. G. Moharam, T. K. Gaylord, E. B. Grann, and D. A. Pommet, “Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A 12, 1068–1076 (1995). [CrossRef]  

17. M. G. Moharam, T. K. Gaylord, D. A. Pommet, and E. B. Grann, “Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach,” J. Opt. Soc. Am. A 12, 1077–1086 (1995). [CrossRef]  

18. P. Lalanne and G. M. Morris, “Highly improved convergence of the coupled-wave method for tm polarization,” J. Opt. Soc. Am. A 13, 779–784 (1996). [CrossRef]  

19. V. Liu and S. Fan, “S4 : A free electromagnetic solver for layered periodic structures,” Computer Phys. Commun. 183, 2233–2244 (2012). [CrossRef]  

20. R. Magnusson and M. Shokooh-Saremi, “Physical basis for wideband resonant reflectors,” Opt. Express 16, 3456–3462 (2008). [CrossRef]   [PubMed]  

21. E. Palik, Handbook of Optical Constants of Solids (Academic press, 1998).

22. J. W. Yoon, Y. H. Ko, K. J. Lee, R. Magnusson, and Manoj Niraula, “Guided-mode resonance nanophotonics in materially sparse architectures,” Proc. SPIE 9757, 975705(2016).

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1
Fig. 1 (a) Schematic diagram of ZCG structure.(b) Schematic diagram of ES-ZCG structure. (c) Schematic diagram of HCG structure.
Fig. 2
Fig. 2 (a) Continuous bandwidth as a function of thicknesses dg and dh for a duty-cycle of 64%. Colorbar shows bandwidth in μm (b) Spectral response for target etch depth, 100 nm over-etch and 100 nm under-etch.
Fig. 3
Fig. 3 (a) Spectral response of grating for various etch-stop layer refractive index values.(b) Spectral response of grating for various etch-stop layer extinction coefficient values (imaginary part of n). (c) Spectral response of grating with an etch-stop layer refractive index of 1.5. (d) Spectral response of grating for various Zinc Selenide (ZnSe) etch-stop layer thicknesses and an optimised grating for a 100 nm etch-stop layer.
Fig. 4
Fig. 4 (a) Contour plot of R>0.97 showing grating design which is tolerant to duty-cycle variation. (b) Contour plot showing duty-cycle variation sensitive design. (c) Contour plot showing high duty-cycle tolerance when reflectivity bandwidth requirements are relaxed.
Fig. 5
Fig. 5 SEM image of fabricated ES-ZCG with Etch-Stop layer.
Fig. 6
Fig. 6 (a) Experimental setup for reflectivity measurement using a Long infrared (LIR) laser and detector. (b) Theoretically predicted and experimentally measured spectral response of grating shown in Fig. 5.
Fig. 7
Fig. 7 (a) SEM image showing the concave grating profile of a HCG resulting from lateral etch due to etch time being too long. (b) Simulated vs measured reflectivity for HCG with concave sidewalls. Target grating parameter set (green), simulated concave sidewalls (blue), simulated averaged duty-cycle (purple) are compared to the measured reflectivity (red).
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.