Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Computational rule-based approach for corner correction of non-Manhattan geometries in mask aligner photolithography

Open Access Open Access

Abstract

In proximity mask aligner photolithography, diffraction of light at the mask pattern is the predominant source for image shape distortions such as line end shortening and corner rounding. One established method to mitigate the impact of diffraction is optical proximity correction. This method relies on a deliberate sub-resolution modification of photomask features to counteract such shape distortions, with the goal to improve pattern fidelity and uniformity of printed features. While previously considered for masks featuring only rectangular shapes in horizontal or vertical orientation, called Manhatten geometries, we demonstrate here the capabilities of computational mask aligner lithography by extending optical proximity correction to non-Manhattan geometries. We combine a rigorous simulation method for light propagation with a particle-swarm optimization to identify suitable mask patterns adapt to each occurring feature in the mask. The improvement in pattern quality is demonstrated in experimental prints. Our method extends the use of proximity lithography in optical manufacturing, as required in a multitude of micro-optical devices.

© 2019 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Ongoing miniaturization in electronic, micro-, and nano-optical devices is, among other factors, limited by the resolution and quality of pattern transfer in photolithography [1,2]. Driven by advances in front-end-of-line projection lithography, ever decreasing feature sizes are realized, which are nowadays by far smaller than the exposure wavelength [3]. Especially for the manufacturing of micro-optics and back-end-of-line electronic circuitries, large volume fabrication at a competitive price is essential. Microlithography commonly used in production requires low cost of ownership and operation [4]. Driven by this commercial pressure, inexpensive mask aligner lithography, realizing wafer-level structuring at a moderate resolution, remains an established alternative to projection lithography for back-end-of-line fabrication [58]. The main concept of mask aligner lithography is shadow printing. With the photomask and the photoresist-coated wafer in contact or close proximity, a 1:1 pattern transfer is realized.

Contact lithography, where the photomask is brought into contact with the substrate, is not the preferred option for large-volume fabrication, as the intimate contact between photomask and photoresist largely raises the possibility for defects. Proximity lithography is preferably used, where a gap of size $g \gtrsim 20$ µm ensures contact-free printing, also for warped substrates or for pre-structured surfaces. However, diffraction at the mask features causes shape distortions in the aerial image, limiting the minimum feature size to some microns [9]. In order to shrink the minimum feature size for printing arbitrary patterns, several concepts have first been developed for projection lithography [4,10,11], and later adapted to mask aligner lithography [12]. They allow to access otherwise unavailable regimes in terms of resolution [1] or regarding the process window [13]. The main resolution enhancement technologies (RETs) comprise off-axis illumination (OAI) [6,14], source-mask optimization (SMO) [1517], phase-shift masks (PSMs) [18,19], and optical proximity correction (OPC) [2022].

Among the most commonly encountered image shape distortions in mask aligner lithography are two-dimensional effects like line shortening and corner rounding [4]. Fine details are lost due to diffraction. The term ‘OPC’ summarizes several methods to modify the photomask pattern, in a way that the aerial image resembles the desired shape as closely as possible. Often applied to binary intensity masks, OPC is a highly versatile method, relying either on the simulation of image formation or on experimental prints in the lithographic system under consideration [4]. The most common OPCs approaches are rule-based and model-based [4]. The former method relies on a set of fixed rules, depending on the geometry of the pattern and described by a set of parameters. Otto et al. introduced a rule-based approach to OPC for edges and rectangular corners [21] in projection lithography, adapted and improved by several authors [2326]. Model-based OPC, on the other hand, relies on the simulation of image formation, with the goal to adapt the mask pattern in a way to improve the printed image [27]. It is the preferred method for complex shapes, but increases the demand on simulation and mask fabrication.

The vast majority of OPC approaches covers Manhattan layouts, i.e., the design contains only rectangular shapes in horizontal or vertical orientation [28]. Voelkel et al. investigated the use of serifs for SMO [6], and binary assist features for a cross-type pattern [7]. Motzek et al. report on simulation and optimization approaches for OPC for a variety of patterns [29,30]. Weichelt et al. applied phase-shifting photomasks with additional OPC to an elbow pattern [31]. Puthankovilakam et al. developed a design rule for a rectangular corner using sub-resolution features in combination with a linear regression method [32]. However, recent years have witnessed a growing interest in printing non-Manhattan geometries using mask aligner lithography, with emphasis on micro-optical components. One example is the object layer in moiré magnifiers [33,34], which is magnified and projected onto a surface using microlens arrays. Sharp corners are crucial to obtain a good reproduction of the object pattern. OPC for such non-Manhatten geometries is not yet developed at a comparable level.

Here, we describe a rule-based OPC method for non-Manhattan geometries, specifically the correction of corners with an inner angle between 45° and 130° (increment of 5°). These angles are in general not restricted to a finite set, but rather a continuous spectrum of angles is possible, for instance specifically adapted to the mask design in mind. By computational means we derive masks with suitable features designed to counteract detrimental diffraction effects in the image formation. We advance towards a digital twin of the printing process [35], in order to gain a better understanding of the opportunities, restrictions, and challenges in mask aligner lithography. In this context we additionally analyze the sensitivity of the process, with respect to gap and dose variations in mask aligner lithography.

We outline our work as follows: In Section 2, we introduce our computational rule-based OPC approach, covering the design and the optimization approach. In Section 3, we discuss the optimization results obtained for non-Manhattan geometries, also with respect to variations in proximity gap and exposure dose. We verify the numeric results by experimental prints in Section 4. In Section 5 we conclude our work.

2. Optical proximity correction of non-Manhattan geometries

The basic idea of rule-based OPC is to modify the mask layout in a way that improves the printed pattern, here demonstrated for a set of corners. First, we introduce the design of the correction structures. We proceed by discussing the simulated aerial image behind the mask, and evaluate it following an intensity threshold model. The resulting resist contour allows to determine a scalar figure of merit (FOM), used as input for the optimization.

2.1 Design of correction structures

Our approach to OPC for mask aligner lithography is presented schematically in Fig. 1. Starting point is a binary intensity mask, being either transparent or opaque to the exposure light. In the vicinity of a corner the layout is subject to modifications, offering degrees of freedom to shape the aerial image. As shown in Fig. 1(a), we add a corner serif (shown in green) with the size as one parameter. The bottom right corner is located exactly at the corner. Around the corner four bars with identical width are allowed to change in length during optimization. Their length is defined with respect to the contour of the uncorrected design: A positive value increases the mask opening outwards, a negative value decreases it inwards orthogonal to the contour. The position of the bars is calculated starting from the corner, with the width of the bars as a sixth parameter. We impose a lower limit of 0.6 µm to the bar width, in agreement with current limitations in large-area mask writing. Colored arrows shown in Fig. 1(a) indicate the four parameters for the bars. Leveraging the symmetry of the problem, we mirror the layout along the bisectrix. No additional parameters are required to unambiguously define the geometry of the OPC structures.

 figure: Fig. 1.

Fig. 1. OPC layout and optimization routine. (a) Schematic of the correction structure layout for OPC, by way of example shown here for an inner angle of 80°. The size of the corner serif (green), the position of the edges forming bars (red), and the width of the bars are subject to optimization. The OPC structure is mirrored along the symmetry axis, the bisectrix of the inner angle (black dashed line). After optimization, the set of rules can be applied to corners with an arbitrary orientation, i.e., not just limited to those with a vertical orientation as shown here. (b) Flowchart of the optimization routine. Starting from a random initial guess, the layout is subject to modifications regarding the design and position of the correction structures. The simulated aerial image of this layout is evaluated by comparing it to the desired layout to determine the FOM. Having reached convergence, the optimization is terminated, leading to a solution specific to the corner layout under consideration. This set of parameters forms the design rules for corners with the specified opening angle.

Download Full Size | PDF

The size of the serif is restricted to a lower limit of 0.6 µm as well. The serif correction is not applied for corner angles of 105° and above, as for these angles less correction is required. The goal is to minimize the mismatch between the simulated resist contour and the desired pattern, by means of modifying this set of six parameters. Once the optimization is finished, the six parameters form a rule for the correction of corners with this angle, and can be applied to arbitrary patterns containing such a corner.

Adding a serif to the corner increases the irradiance in this area and drags the resist contour towards the corner. But it also disturbs the aerial image in its environment. By adding the bars and optimizing their geometrical properties, the light distribution is homogenized. This is necessary over a distance several wavelengths away from the corner.

We apply the procedure separately to corners with a range of inner angles (45° to 130°) at a proximity gap of 30 µm. For an actual design, the optimization can be adapted to the angles present in the design under consideration. For the sake of a visual representation, in this section we illustrate the procedure for an inner angle of 80°. The definitions and methods, however, apply in an analogous manner to other designs as well.

2.2 Optimization routine

Figure 1(b) shows a flowchart of the optimization routine. Starting from an initial guess for the design parameters, the layout is modified, as illustrated in Fig. 1(a), and then simulated. To evaluate the resulting aerial image, we introduce a figure of merit which compares the simulated aerial image to the desired original layout. This sequence of steps is iterated and subject to optimization. Hereafter, we explain and discuss the individual steps.

For simulating the exposure process, we use the software GenISys LAB [3638]. To obtain the aerial image, the software calculates the Rayleigh-Sommerfeld diffraction integral, using the thin-element approximation for the binary intensity mask. In particular, the angular distribution of the mask aligner illumination is taken fully into account. The mask opening elements have a side length of 15 µm, with the total simulation area covering a square with a side length of 25 µm. The resolution is set to 50 nm on a Cartesian grid. To achieve a high resolution, for illumination we use only the i-line of a high-pressure mercury arc lamp, assuming a Gaussian spectral line shape centered at $\lambda = 365$ nm with a full width at half maximum (FWHM) of 5 nm. Each simulation step takes on average about 2.5 s on a desktop computer with 16 GB of memory and a Intel Core i7-6700 CPU.

The aerial image irradiance distribution on the substrate level, after propagation over the proximity gap, is normalized to the incident irradiance. We obtain iso-irradiance contours, which are subsequently evaluated using a threshold model: In areas where the normalized irradiance exceeds the selected threshold value, the resist is removed in a development step. If the irradiance is below this threshold value, the resist remains unaltered [39]. Using a threshold value of $t=0.30$, we obtain a simulated resist contour that corresponds to the desired pattern width. This approach can be extended with ease to more sophisticated resist models, for example featuring two thresholds [40] or the Mack model [41].

To evaluate the resist contour we define a FOM that is a variant of well-established performance measures in photolithography as the pattern error or the edge placement error. These established definitions are usually restricted to a finite set of measurement points or only defined for Manhattan designs [39]. Here, we consider two contributions, evaluated in the vicinity of the corner (square with side length of 5 µm):

  • • The first contribution assesses the shape similarity by evaluating the symmetric difference $d_{\mathrm {diff}}$ (in units of µm2) between the simulated threshold contour and the desired design. In Fig. 2(a), this absolute difference in area is indicated in red color. Optimization with respect to the symmetric difference aims to diminish the shape mismatch.
  • • The second contribution to the FOM quantifies the minimum distance $d_{\mathrm {min}}$ (in units of µm) between the corner point and the threshold contour, as indicated in Fig. 2(a) by yellow arrows. This component offers an incentive for the optimization to push the contour into the corner.
To take the different dimensions of both contributions into account, we use the dimensionless values. The FOM is then defined as the weighted average,
$$\mbox{FOM} = \frac{w_{\mathrm{diff}} \cdot d_{\mathrm{diff}} + w_{\mathrm{min}}\cdot d_{\mathrm{min}}}{w_{\mathrm{diff}} + w_{\mathrm{min}}},$$
with the selected weighting factors $w_{\mathrm {diff}} = 1.0$ and $w_{\mathrm {min}} = 0.4$. Using these weighting factors, we obtain a fast convergence in the optimization routine. However, the parameters could have been chosen differently as well, also adapted to the specifications of the printed design. We observe only a minor impact of $w_{\mathrm {diff}}$ and $w_{\mathrm {min}}$ on the optimization results.

 figure: Fig. 2.

Fig. 2. Pattern optimization, here exemplarily shown for a 80° corner. Hatched areas correspond to resist remaining after development for a threshold of $t = 0.30$. The slightly asymetric nature of the results arises from the finite resolution of the simulation. (a) Simulation of the uncorrected original corner. The FOM is defined as the weighted average of the symmetric difference area (in red) and the distance of the threshold contour to the corner (yellow arrows). The inset shows a contour plot of the aerial image irradiance, normalized to the transmittance of the mask. In the corner, a hotspot (with normalized irradiance exceeding unity) is visible. (b) Threshold contour after optimization. The inset shows the normalized aerial image irradiance for the optimized pattern. The irradiance is more uniform, avoiding the formation of a hotspot.

Download Full Size | PDF

We use a particle swarm optimization (PSO) algorithm in Python (PySwarms [42]) to find a local minimum of the scalar FOM with respect to the set of parameters introduced in Fig. 1(a). There are several reasons for selecting the PSO: First of all, it requires no additional assumptions on the optimization problem. Also, the fundamental constraints imposed from experimental limitations such as, e.g., the lower width of the bars can be easily incorporated, and, finally, the FOM is not required to be differentiable. PySwarms uses a position-velocity update method, where the direction of each individual particle is influenced by the particle with the best FOM and at the same time following its personal best trajectory. This allows to capitalize on both the exploitative and explorative nature of the swarm [42].

We emphasize that the optimization is performed for each inner angle separately, resulting in a solution specific to this configuration. It is crucial to note that our algorithm does not end up in a global minimum of the problem at hand, but rather in a local minimum that significantly improves the performance. Further improvement can be achieved using more sophisticated optimizers, for instance a different evolutionary algorithm [43], neural networks [4446], or Bayesian optimization [47]. However, such approaches are beyond the scope of our discussion here.

The simulated threshold contour for the optimized 80° corner is shown in Fig. 2(b), with the improvement over the simulated original pattern shown in Fig. 2(a) clearly visible. Typically, the optimization converges after around 200 iteration steps.

3. Optimization results for non-Manhattan geometries

3.1 Improvement of pattern quality by optical proximity correction

In Fig. 3, we compare the FOM for the uncorrected and the OPC-corrected corners after optimization, shown as a function of the inner angle. We observe that the quality of the uncorrected corners (red diamonds) improves with increasing angle, from a FOM of about 4 at 45° to about 1 at 130°. Our OPC approach leads to an improved performance for all angles under consideration, which implies that the congruence between the desired and the printed pattern is improved. For inner angles above 75°, the FOM remains below 1.

 figure: Fig. 3.

Fig. 3. Simulated FOM as a function of inner angles, retrieved from the threshold resist contours at $t = 0.30$. Blue hexagons denote the corrected OPC corner, and the original pattern without optimization is indicated by red diamonds. A lower FOM corresponds to a better agreement between the resist contour and the desired pattern. We notice an improvement of the FOM by applying OPC for all inner angles, with the strongest improvement expected for angles close to 90° and for 45°.

Download Full Size | PDF

For non-Manhattan geometries with smaller inner angles, the fundamental resolution of the mask aligner is not sufficient to print the structures with high quality. At a fixed proximity gap and a given exposure spectrum, the impact of diffraction defines the possible resolution, which does not increase when applying OPC. However, the optimized corners distribute the exposure light in a way that eventually leads to an overall improvement.

Up to now, we assumed perfect conditions for the simulations parameters. To perform experimental prints in a mask aligner requires to set two parameters for each exposure, which are thus prone to variations, namely the proximity gap $g$ and the exposure dose $E$. We use the described simulation procedure to assess the sensitivity of our approach to perturbations regarding these two parameters.

3.2 Process sensitivity to gap variations

First, we investigate the variation of the proximity gap $g$ around the nominal value of 30 µm. Figure 4(a) shows the FOM for the optimized OPC pattern as a function of inner angle and gap. Especially for small angles we notice that the process is highly sensitive to gap variations. Above a corner angle of 80°, the method is quite robust against gap variations. Figure 4(b) shows the resist contours for a range of gaps, exemplarily for the optimized 80° corner. Even for variations in the gap as high as 10 µm, our OPC approach leads to an improvement compared to a corner without OPC features (compare Fig. 3).

 figure: Fig. 4.

Fig. 4. Process sensitivity for a varying proximity gap. (a) Scatter plot of the FOM as a function of inner angle and gap. For small inner angles, the process is very sensitive to gap variations. The gray box indicates the nominal gap for which the pattern is optimized, corresponding to the blue hexagons in Fig. 3. (b) Resist contours for varying proximity gaps, shown here for a corner with an inner angle of 80°. For this configuration, the process is quite insensitive to gap variations. The gray background represents the mask design [compare Fig. 1(a)].

Download Full Size | PDF

3.3 Process sensitivity to dose variations

Second, we discuss the sensitivity of the OPC with respect to the exposure dose, see Fig. 5. Here, we assume a fixed threshold, i.e., the resist response remains unaltered. Similar to the gap variation discussed before, the process appears to be quite insensitive to dose variations for larger angles, especially above 70° [see Fig. 5(a)]. We observe a slight improvement in the FOM for a reduced relative exposure dose around 0.94. The shape contour, as presented in Fig. 5(b), indicates that a dose variation merely results in a shift of the edges, as expected from the threshold model.

 figure: Fig. 5.

Fig. 5. Process sensitivity for a varying exposure dose. (a) Scatter plot of the FOM as a function of inner angle and relative exposure dose. The nominal exposure dose, used for the optimization, is set to 1. We observe a small improvement for a reduced dose at angles above 75°. (b) Resist contours for varying relative exposure dose, shown here for a corner with an inner angle of 80°. The gray background represents the mask design [compare Fig. 1(a)].

Download Full Size | PDF

Combining the variation of the gap (Fig. 4) and the dose (Fig. 5) allows to determine the process window, which describes the maximum possible variation in gap and dose that still leads to an acceptable performance. To obtain the size of the process window hence obviously requires to define a FOM that quantifies which pattern fidelity is still tolerable in the specific process. The determination of the process window follows then directly from the simulations discussed before. The results are skipped here for the sake of brevity.

4. Experimental results

To verify our rule-based OPC approach for isolated corners, we designed test structures with the same range of inner angles as discussed in Section 3. These structures possess corrected and uncorrected angles to allow direct comparison.

4.1 Experimental setup for lithographic prints

The photomask containing the test structures was fabricated by a commercial mask supplier using e-beam lithography, with a writing grid of 1 nm and a critical dimension of 200 nm. The test exposures are performed in a prototype mask aligner, which consists of a UV light source, a mask chuck, and a high precision stage (Etel Sarigan) for wafer positioning. We use a SUSS MA150 lamp house with integrated i-line filter to enable optical exposures at a wavelength of 365 nm corresponding to the simulations. For uniform illumination at a defined angular spectrum, we rely on a two-stage microlens-based Köhler integrator (MO Exposure Optics from SUSS MicroOptics SA) with the high resolution illumination filter plate [6].

For the experimental prints, we use AZ$\,1512$ photoresist with a thickness of 1.2 µm spin-coated onto Silicon substrates. No bottom anti-reflective coating was applied, and for the presented results we used an exposure dose of 250 mJ cm−2 (i-line).

Furthermore, we rely on spectroscopic reflectrometry (Avantes AvaSpec ThinFilm bundle) to determine the proximity gap between the photomask and the substrate. All results presented here were obtained with a measured exposure gap of 30 µm. The exposure is followed by resist development (1 min in AZ400, diluted 1:4 with deionized water) and dry etching in an ICP-RIE (Oxford Plasmalab 100 ICP180, HBr and Cl$_2$ chemistry). Subsequently, the resist is removed in an acetone bath.

4.2 Evaluation of experimental prints

Figure 6 shows SEM images of the test structures for a range of inner angles between 70° and 110°. In all images the top two corners are corrected, and the bottom two are uncorrected. The improvement in the quality of the corner angles by applying the OPC structures is clearly visible, especially for the perpendicular corner [shown in Fig. 6(c)]. Emerging corner rounding is strongly reduced, also in comparison to previously demonstrated OPC implementations in mask aligner lithography applied to Manhattan geometries [6,32].

 figure: Fig. 6.

Fig. 6. Scanning electron microscope (SEM) images of the fabricated test structures. In the brighter areas, a positive photoresist was exposed, developed, and etched into the Silicon (Si) substrate. The upper corners are OPC corrected, and the lower corners are uncorrected. All scale bars correspond to a length of 10 µm. (a) 70°/110°, (b) 80°/100°, and (c) 90°.

Download Full Size | PDF

Close to the corner, the shape contour reveals small corrugations [see for example Fig. 6(c)]. This behavior is also observed in simulations, compare for instance Fig. 4(b). These corrugations appear due to the discrete nature of the correction bars, and can be mitigated by further shrinking the width of the bars.

For a quantitative and systematic evaluation, we determine the shape contour from the SEM micrographs by performing clustering-based image evaluation (Otsu’s method [48]). This allows us to retrieve the FOM in an analogous way as introduced in Section 2.2 for the simulation, and hence enables a direct comparison between the simulation results and the experimental prints. Figure 7(a) illustrates the shape contour, as retrieved from the corresponding SEM image. First, we center the test structure, and obtain the FOM by comparison with the perfect design shape. No additional data manipulation is performed. Figure 7(b) shows a close-up of the corner, together with the FOM obtained from the corresponding SEM image.

 figure: Fig. 7.

Fig. 7. Shape contour of the test structure with inner angles of 80°/100°, as obtained from the SEM image using clustering-based image evaluation [here shown for Fig. 6(b)]. (a) Shape contour. The blue area corresponds to the exposed area, later etched into the substrate. (b) Detail of the upper left corner with an inner angle of 80°, as indicated in (a) by the dashed square. Compared to the simulation presented in Fig. 2(b), the FOM is deteriorated, mainly due to an increased symmetric difference. The resolution is ultimately limited by the pixel size of the SEM image, here 83.8 nm.

Download Full Size | PDF

Figure 8 illustrates the FOM that we obtained from the experimental prints, both for the uncorrected and the OPC-corrected corners, as a function of the inner angles. First, we notice that the uncorrected corners for small angles follow the trend predicted by the simulations, as presented in Fig. 3. For larger angles, the FOM starts to saturate above 95°. Furthermore, for inner angles above 70° the OPC-corrected corners show an improvement in the FOM over the uncorrected corner.

 figure: Fig. 8.

Fig. 8. FOM for the corner angels under investigation analyzed from the fabricated structures. The error bars indicate the standard deviation from a set of six individual test structures. We observe an improvement in the FOM for corners with the inner angles in the range of 70° to 115°.

Download Full Size | PDF

However, the improvement is still small compared to the performance expected from simulations. We attribute these deviations to imperfections in mask manufacturing, resist modeling, small gap variations due to variations in the substrate height, and inaccuracies in the evaluation of SEM images. Especially a simulation of the photoresist development might improve the agreement between simulation and experiment. In addition, the exposure dose is a parameter that should be adapted.

The general trend shows an improvement of the printed patterns using our computational rule-based OPC approach, with only a minimal overhead in mask design and fabrication. The presented approach can be extended and become more flexible by increasing the number of correction bars in the OPC design while shrinking their width.

5. Conclusion

In summary, we introduced a computational rule-based optical proximity correction for non-Manhattan geometries in proximity mask aligner lithography. By relying on macroscopic correction features, our proof of concept investigation extracts geometric rules for the correction structures, restricted to feature sizes larger than 0.6 µm.

The introduction of a figure of merit allows to quantify the shape similarity between a desired design and a simulated or printed pattern. Using this measure, we discussed the sensitivity of OPC-corrected corners to variations in proximity gap and exposure dose. This method effectively mitigates image shape distortions introduced by diffraction leading to corner rounding, with little overhead in mask design and fabrication by adding the correction structures described before. Shown here for a proximity gap of 30 µm and a specific resist, the method can be directly applied to different gaps or photoresists. To implement a more holistic approach, source-mask optimization could be included, additionally shaping the angular spectrum. Especially for angles larger than 70°, our approach appears rather forgiving against such experimental imperfections. Furthermore, the approach can be extended to other structures as well, for example curvilinear geometries.

Further work is required in the field of resist simulation and optimization. GenISys LAB allows to simulate the resist image after development, which requires to calibrate the parameters describing the development process of the photoresist under investigation. A rather long simulation time prevents fast optimization. Especially the implementation of neural networks might enable a considerable speed-up or even more complex correction structures, including more degrees of freedom. Our approach might help to extend the use of mask aligner lithography as a flexible, cheap, and scalable technology in optical and semiconductor fabrication.

Funding

H2020 Marie Skłodowska-Curie Actions (675745); Karlsruhe School of Optics and Photonics (KSOP); Deutsche Forschungsgemeinschaft (EXC-2082 – 390761711).

Acknowledgments

The authors want to thank P. Weber, J. Borboën, P. Zwahlen, M. Eisner, and S. Westenhöfer for useful discussions and technical support. We acknowledge GenISys GmbH, Munich, Germany for the provision of the simulation software. This project has received funding from the European Union’s Horizon 2020 research and innovation programme under the Marie Skłodowska-Curie grant agreement No 675745. A. V. acknowledges support by the Karlsruhe School of Optics and Photonics (KSOP). C. R. has been funded by the Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) under Germany’s Excellence Strategy via the Excellence Cluster 3D Matter Made to Order (EXC-2082 – 390761711).

Disclosures

The authors declare no conflicts of interest.

References

1. T. Ito and S. Okazaki, “Pushing the limits of lithography,” Nature 406(6799), 1027–1031 (2000). [CrossRef]  

2. A. Santos, M. J. Deen, and L. F. Marsal, “Low-cost fabrication technologies for nanostructures: state-of-the-art and potential,” Nanotechnology 26(4), 042001 (2015). [CrossRef]  

3. M. Neisser and S. Wurm, “ITRS lithography roadmap: 2015 challenges,” Adv. Opt. Technol. 4(4), 235–240 (2015). [CrossRef]  

4. A. Wong, “Microlithography: trends, challenges, solutions, and their impact on design,” IEEE Micro 23(2), 12–21 (2003). [CrossRef]  

5. P. Nussbaum, R. Völkel, H. P. Herzig, M. Eisner, and S. Haselbeck, “Design, fabrication and testing of microlens arrays for sensors and microsystems,” Pure Appl. Opt. 6(6), 617–636 (1997). [CrossRef]  

6. R. Voelkel, U. Vogler, A. Bich, P. Pernet, K. J. Weible, M. Hornung, R. Zoberbier, E. Cullmann, L. Stuerzebecher, T. Harzendorf, and U. D. Zeitner, “Advanced mask aligner lithography: new illumination system,” Opt. Express 18(20), 20968–20978 (2010). [CrossRef]  

7. R. Völkel, U. Vogler, A. Bramati, T. Weichelt, L. Stuerzebecher, U. D. Zeitner, K. Motzek, A. Erdmann, M. Hornung, and R. Zoberbier, “Advanced mask aligner lithography (AMALITH),” Proc. SPIE 20, 83261Y (2012). [CrossRef]  

8. R. Voelkel, “Wafer-scale micro-optics fabrication,” Adv. Opt. Technol. 1(3), 135–150 (2012). [CrossRef]  

9. P. Rai-Choudhury, ed., Handbook of microlithography, micromachining, and microfabrication, vol. 1 of IEE materials & devices series ; SPIE Press monograph (SPIE Optical Engineering Pr., 1997).

10. A. K. Wong, Resolution Enhancement Techniques in Optical Lithography, vol. 47 (SPIE, 2001).

11. F. M. Schellenberg, “A History of Resolution Enhancement Technology,” Opt. Rev. 12(2), 83–89 (2005). [CrossRef]  

12. L. Stuerzebecher, F. Fuchs, U. D. Zeitner, and A. Tuennermann, “High-resolution proximity lithography for nano-optical components,” Microelectron. Eng. 132, 120–134 (2015). [CrossRef]  

13. R. Voelkel, U. Vogler, A. Bramati, A. Erdmann, N. Ünal, U. Hofmann, M. Hennemeyer, R. Zoberbier, D. Nguyen, and J. Brugger, “Lithographic process window optimization for mask aligner proximity lithography,” Proc. SPIE 9048, 90520G (2014). [CrossRef]  

14. D. L. Fehrs, H. B. Lovering, and R. T. Scruton, “Illuminator modification of an optical aligner,” Proc. of the KTI Microfabrication Seminar INTERFACE’89 pp. 217–230 (1989).

15. A. E. Rosenbluth, S. J. Bukofsky, M. S. Hibbs, K. Lai, A. F. Molless, R. N. Singh, and A. K. K. Wong, “Optimum mask and source patterns to print a given shape,” Proc. SPIE 4346, 486–502 (2001). [CrossRef]  

16. A. Erdmann, T. Fuehner, T. Schnattinger, and B. Tollkuehn, “Toward automatic mask and source optimization for optical lithography,” Proc. SPIE 5377, 646–657 (2004). [CrossRef]  

17. Y. Granik, “Solving inverse problems of optical microlithography,” Proc. SPIE 5754, 506–526 (2005). [CrossRef]  

18. M. Levenson, N. Viswanathan, and R. Simpson, “Improving resolution in photolithography with a phase-shifting mask,” IEEE Trans. Electron Devices 29(12), 1828–1836 (1982). [CrossRef]  

19. M. Erdélyi, Z. Bor, J. R. Cavallaro, G. Szabó, W. L. Wilson, C. Sengupta, M. C. Smayling, and F. K. Tittel, “Enhanced Microlithography Using Combined Phase Shifting and Off-axis Illumination,” Jpn. J. Appl. Phys. 34(Part 2, No. 12A), L1629–L1631 (1995). [CrossRef]  

20. A. Starikov, “Use Of A Single Size Square Serif For Variable Print Bias Compensation In Microlithography: Method, Design, And Practice,” Proc. SPIE 1088, 34–46 (1989). [CrossRef]  

21. O. W. Otto, J. G. Garofalo, K. K. Low, C.-M. Yuan, R. C. Henderson, C. Pierrat, R. L. Kostelak, S. Vaidya, and P. K. Vasudev, “Automated optical proximity correction: a rules-based approach,” Proc. SPIE 2197, 278–293 (1994). [CrossRef]  

22. J. F. Chen, T. Laidig, K. E. Wampler, and R. F. Caldwell, “Optical proximity correction for intermediate-pitch features using sub-resolution scattering bars,” J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 15(6), 2426–2433 (1997). [CrossRef]  

23. M. Garza, N. K. Eib, J. V. Jensen, and K. K. Chao, “Performing optical proximity corretion with the aid of design rule checkers,” U.S. patent 5705301 (1998).

24. K. Yamamoto, S. Miyama, K. Koyama, and S. Inoue, “Optical Proximity Correction Method,” U.S. patent 5879844 (1999).

25. M. Garza, J. V. Jensen, N. K. Eib, and K. K. Chao, “Optical proximity correction method and apparatus,” U.S. patent 6269472 (2001).

26. C.-J. Hsieh, J.-R. Hwang, and J.-T. Huang, “Correcting the polygon feature pattern with an optical proximity correction method,” U.S. patent 6767679 (2004).

27. L. Huang and M. D. F. Wong, “Optical Proximity Correction (OPC) - Friendly Maze Routing,” in Resolution Enhancement Techniques in Optical Lithography, (SPIE, 2004), pp. 91–115.

28. X. Ma and G. R. Arce, Computational lithography, vol. 77 (John Wiley & Sons, 2011).

29. K. Motzek, A. Bich, A. Erdmann, M. Hornung, M. Hennemeyer, B. Meliorisz, U. Hofmann, N. Ünal, R. Voelkel, S. Partel, and P. Hudek, “Optimization of illumination pupils and mask structures for proximity printing,” Microelectron. Eng. 87(5-8), 1164–1167 (2010). [CrossRef]  

30. K. Motzek, U. Vogler, M. Hennemeyer, M. Hornung, R. Voelkel, A. Erdmann, and B. Meliorisz, “Computational algorithms for optimizing mask layouts in proximity printing,” Microelectron. Eng. 88(8), 2066–2069 (2011). [CrossRef]  

31. T. Weichelt, U. Vogler, L. Stuerzebecher, R. Voelkel, and U. D. Zeitner, “Resolution enhancement for advanced mask aligner lithography using phase-shifting photomasks,” Opt. Express 22(13), 16310–16321 (2014). [CrossRef]  

32. K. Puthankovilakam, T. Scharf, H. P. Herzig, U. Vogler, and R. Voelkel, “Unified rule based correction for corners in proximity lithography mask using high resolution features,” Microelectron. Eng. 172, 35–44 (2017). [CrossRef]  

33. M. C. Hutley, R. Hunt, R. F. Stevens, and P. Savander, “The moire magnifier,” Pure Appl. Opt. 3(2), 133–142 (1994). [CrossRef]  

34. H. Kamal, R. Voelkel, and J. Alda, “Properties of moire’ magnifiers,” Opt. Eng. 37(11), 3007–3014 (1998). [CrossRef]  

35. M. Grieves, “Digital Twin : Manufacturing Excellence through Virtual Factory Replication,” White paper (2014).

36. B. Meliorisz, P. Evanschitzky, and A. Erdmann, “Simulation of proximity and contact lithography,” Microelectron. Eng. 84(5-8), 733–736 (2007). [CrossRef]  

37. A. Bramati, U. Vogler, B. Meliorisz, K. Motzek, M. Hornung, and R. Voelkel, “Simulation tools for advanced mask aligner lithography,” Proc. SPIE 8167, 81670U (2011). [CrossRef]  

38. K. Motzek, S. Partel, A. Bramati, U. Hofmann, N. Ünal, M. Hennemeyer, M. Hornung, A. Heindl, M. Ruhland, A. Erdmann, and P. Hudek, “Mask aligner lithography simulation – From lithography simulation to process validation,” Microelectron. Eng. 98, 121–124 (2012). [CrossRef]  

39. C. Mack, Fundamental Principles of Optical Lithography (John Wiley & Sons, Ltd, 2007).

40. W.-C. Huang, C.-H. Lin, C.-C. Kuo, C. C. Huang, J. F. Lin, J.-H. Chen, R.-G. Liu, Y. C. Ku, and B.-J. Lin, “Two threshold resist models for optical proximity correction,” Proc. SPIE 5377, 1536 (2004). [CrossRef]  

41. C. A. Mack, “Development of Positive Photoresists,” J. Electrochem. Soc. 134(1), 148–152 (1987). [CrossRef]  

42. L. J. V. Miranda, “PySwarms, a research-toolkit for Particle Swarm Optimization in Python,” J. Open Source Softw. 3(21), 433 (2018). [CrossRef]  

43. Y. Li, S. M. Yu, and Y. L. Li, “Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches,” Comput. Mater. Sci. 45(1), 65–76 (2009). [CrossRef]  

44. N. Jia and E. Y. Lam, “Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis,” J. Opt. 12(4), 045601 (2010). [CrossRef]  

45. R. Luo, “Optical proximity correction using a multilayer perceptron neural network,” J. Opt. 15(7), 075708 (2013). [CrossRef]  

46. S. Lan, J. Li, J. Liu, Y. Wang, and K. Zhao, “Deep learning assisted fast mask optimization,” Proc. SPIE 10587, 17 (2018). [CrossRef]  

47. M. Pelikan, D. E. Goldberg, and E. Cantú-Paz, “BOA: The Bayesian Optimization Algorithm,” Genet. Evol. Comput. 1, 525–532 (1999).

48. N. Otsu, “A Threshold Selection Method from Gray-Level Histograms,” IEEE Trans. Syst., Man, Cybern. 9(1), 62–66 (1979). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1.
Fig. 1. OPC layout and optimization routine. (a) Schematic of the correction structure layout for OPC, by way of example shown here for an inner angle of 80°. The size of the corner serif (green), the position of the edges forming bars (red), and the width of the bars are subject to optimization. The OPC structure is mirrored along the symmetry axis, the bisectrix of the inner angle (black dashed line). After optimization, the set of rules can be applied to corners with an arbitrary orientation, i.e., not just limited to those with a vertical orientation as shown here. (b) Flowchart of the optimization routine. Starting from a random initial guess, the layout is subject to modifications regarding the design and position of the correction structures. The simulated aerial image of this layout is evaluated by comparing it to the desired layout to determine the FOM. Having reached convergence, the optimization is terminated, leading to a solution specific to the corner layout under consideration. This set of parameters forms the design rules for corners with the specified opening angle.
Fig. 2.
Fig. 2. Pattern optimization, here exemplarily shown for a 80° corner. Hatched areas correspond to resist remaining after development for a threshold of $t = 0.30$. The slightly asymetric nature of the results arises from the finite resolution of the simulation. (a) Simulation of the uncorrected original corner. The FOM is defined as the weighted average of the symmetric difference area (in red) and the distance of the threshold contour to the corner (yellow arrows). The inset shows a contour plot of the aerial image irradiance, normalized to the transmittance of the mask. In the corner, a hotspot (with normalized irradiance exceeding unity) is visible. (b) Threshold contour after optimization. The inset shows the normalized aerial image irradiance for the optimized pattern. The irradiance is more uniform, avoiding the formation of a hotspot.
Fig. 3.
Fig. 3. Simulated FOM as a function of inner angles, retrieved from the threshold resist contours at $t = 0.30$. Blue hexagons denote the corrected OPC corner, and the original pattern without optimization is indicated by red diamonds. A lower FOM corresponds to a better agreement between the resist contour and the desired pattern. We notice an improvement of the FOM by applying OPC for all inner angles, with the strongest improvement expected for angles close to 90° and for 45°.
Fig. 4.
Fig. 4. Process sensitivity for a varying proximity gap. (a) Scatter plot of the FOM as a function of inner angle and gap. For small inner angles, the process is very sensitive to gap variations. The gray box indicates the nominal gap for which the pattern is optimized, corresponding to the blue hexagons in Fig. 3. (b) Resist contours for varying proximity gaps, shown here for a corner with an inner angle of 80°. For this configuration, the process is quite insensitive to gap variations. The gray background represents the mask design [compare Fig. 1(a)].
Fig. 5.
Fig. 5. Process sensitivity for a varying exposure dose. (a) Scatter plot of the FOM as a function of inner angle and relative exposure dose. The nominal exposure dose, used for the optimization, is set to 1. We observe a small improvement for a reduced dose at angles above 75°. (b) Resist contours for varying relative exposure dose, shown here for a corner with an inner angle of 80°. The gray background represents the mask design [compare Fig. 1(a)].
Fig. 6.
Fig. 6. Scanning electron microscope (SEM) images of the fabricated test structures. In the brighter areas, a positive photoresist was exposed, developed, and etched into the Silicon (Si) substrate. The upper corners are OPC corrected, and the lower corners are uncorrected. All scale bars correspond to a length of 10 µm. (a) 70°/110°, (b) 80°/100°, and (c) 90°.
Fig. 7.
Fig. 7. Shape contour of the test structure with inner angles of 80°/100°, as obtained from the SEM image using clustering-based image evaluation [here shown for Fig. 6(b)]. (a) Shape contour. The blue area corresponds to the exposed area, later etched into the substrate. (b) Detail of the upper left corner with an inner angle of 80°, as indicated in (a) by the dashed square. Compared to the simulation presented in Fig. 2(b), the FOM is deteriorated, mainly due to an increased symmetric difference. The resolution is ultimately limited by the pixel size of the SEM image, here 83.8 nm.
Fig. 8.
Fig. 8. FOM for the corner angels under investigation analyzed from the fabricated structures. The error bars indicate the standard deviation from a set of six individual test structures. We observe an improvement in the FOM for corners with the inner angles in the range of 70° to 115°.

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

FOM = w d i f f d d i f f + w m i n d m i n w d i f f + w m i n ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.