Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Global optimisation of source and mask in inverse lithography via tabu search combined with genetic algorithm

Open Access Open Access

Abstract

Source mask optimisation (SMO) is a resolution enhancement technology that is utilised in the advanced process node of optical lithography to achieve acceptable imaging quality and fidelity. It is crucial in enhancing the convergence performance and optimisation capability of pixel-based SMO. In this study, an SMO approach that employs a genetic algorithm (GA), combined with the tabu search method (TS), is proposed. GA-TS, a hybrid-type global optimisation algorithm, has an outperforming capacity to avoid local optima owing to the excellent local searching function of TS. Furthermore, an edge-optimisation strategy was implemented to optimise the mask for a low-complexity mask layout. The simulation results confirm that the proposed approach exhibits exceptional optimisation capability and convergence performance.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Optical lithography is an important technology used in the fabrication of very-large-scale integration chips (VLSI). Because the critical dimension (CD) of VLSI has been continuously reduced for advanced nodes, it is necessary to improve the lithography resolution. Two effective methods that are theoretically applied in optical lithography techniques are wavelength shrinkage and NA enlargement according to Rayleigh’s criterion [1,2]. When the CD of the mask pattern is shorter than the illumination wavelength, the optical diffraction effect distorts the aerial image, such as the optical proximity effect (OPE) [3]. Hence, the guarantee of imaging quality and fidelity on wafers has become a critical issue.

Resolution enhancement techniques (RETs) have been frequently utilised as essential approaches to enhance the performance of lithographic imaging systems in advanced nodes. Currently, pixelated source mask optimisation (SMO) in RETs has been confirmed as an effective method for achieving high imaging quality and fidelity [47]. The source shape and mask pattern are regularly gridded as arrays of pixels in the pixelated SMO approach. The intensities of all source’s valid pixels are defined as variable parameters, and the transmissivity of each pixel in the pixelated mask has an operation in the same way. As a result, the issue of the pixelated SMO can be transformed to the procedure of inversely optimizing function. Revision and optimisation of the pixeled source shape and mask layout with iterative algorithms, such as gradient methods and heuristic algorithms, can accomplish SMO according to the resist image on the side of the wafer [810]. As an important merit function, the accumulated value of element-by-element difference, called pattern errors (PEs), between the resist image and desired pattern, has been widely utilised in several studies to estimate the optimisation result in the iteration model [11,12]. Various merit functions, such as the edge placement error (EPE), normalised image log slope (NILS), and mask error enhancement factor (MEEF) have been proposed for SMO [1315].

In the pixeled SMO method, gradient-based methods with fast iteration efficiency, such as gradient descent (GD) [16,17], steepest descent (SD) [8], conjugate gradient (CG) [18,19], and compressive sensing-based method [20,21], have been generally employed to optimise the performance of the imaging system of lithography. However, owing to the characteristics of local optimisation, the non-optimal result of the source shape and mask layout with gradient methods may be generated in the SMO. Furthermore, based on the typical rule of gradient methods, the gradient function of the PEs can be obtained by a derivation operation. It is difficult to express the gradient function of PEs according to the more complex resist-image model with continuous shortening of the advance node [9,22].

Pixeled SMO methods based on heuristic algorithms with global optimisation features [23,24], including particle swarm optimisation (PSO) [10] [25], genetic algorithms (GA) [26,27], and differential evolution (DE) [28], have been verified to replace gradient-based to achieve more optimisation results. Additionally, the global optimisation model in SMO has a simple structure, in which the grayscale values of some valid pixels with source and mask are only optimised without considering the complexity of a merit function. Wang et al. utilised the PSO method to optimise the source shape and mask of optical lithography and proved that the PSO method in SMO distinctly has strong robustness [25]. Existing SMO methods using the original optimisation algorithms significantly improve the imaging quality and fidelity of optical lithography. However, these methods cannot achieve a global optimum state according to the optimisation results of the iterative progress. Various optimisation strategies, such as the covariance matrix adaptation evolution strategy (CMA-ES) [9], adaptive nonlinear PSO [29], and nonlinear compressive sensing (nonlinear CS) [30], can be combined with the original optimisation method to significantly increase the ability to escape the local optimum. Chen et al. employed CMA-ES to calculate the pixel value of the source shape encoded by a novel coding approach to improve the iteration efficiency [9]. Sun et al. formulated the pixelated SMO as a nonlinear CS reconstruction problem and utilized a Newton-iteration hard thresholding algorithm to calculate the second derivation of the cost function to improve convergence efficiency [20]. Zhang et al. proposed an SMO method based on social learning particle swarm optimisation (SL-PSO) to optimise the source shape and mask of extreme ultraviolet lithography to increase the optimisation capacity of the iteration algorithm and implement the simple fabrication of a mask [10]. Wang et al. employed a hybrid CS framework to enhance the computational efficiency in the SMO approach, combined with the gradient projection for sparse reconstruction algorithm and split Bregman algorithm to reduce the convergence error [31]. In these proposed methods, the symmetry characteristics of the source shape can be divided into four parts based on optical theory. A mask with a symmetrical pattern can execute this operation in the same manner. Encoding only these accessible pixel points as optimisation variables can further compress the iteration time in SMO. Moreover, according to the verified results, the demanded source shape of the mask with the periodic grating pattern corresponds to horizontal or vertical diode illumination [3234]. Similarly, according to the correction rules of optical proximity correction (OPC), the effective optimisation range of the mask pattern layout principally focuses on the region around the pattern edge [3537]. Hence, efficient coding methods in SMO can prevent inefficient iteration, and it is also essential to utilise the improved algorithms for the higher-imaging quality of optical lithography.

In this study, a genetic algorithm based on the tabu search algorithm (GA-TS) is proposed as an efficient tool for optimising the source shape and mask layout of optical lithography in a pixeled SMO. Convergence is prematurely caused in the optimisation process because of the weak local search capability of the GA. To overcome the problem of premature convergence, the tabu search algorithm is utilised in order to enhance the local search capacity of the GA in the SMO. Furthermore, the local encoding type is applied to sample the valid pixels in the SO to enhance the performance of source optimisation in the required illumination shape of periodic grating patterns. The edge-optimisation strategy and division of the symmetrical mask were implemented to reduce the optimisation dimension. The simulation results indicate that the performance of optimisation efficiency compared with the previous heuristic-based method in SMO is significantly improved by TS-GA with the edge-optimisation strategy.

2. Methodology

2.1 Lithography imaging model

A typical imaging model for immersion lithography is illustrated in Fig. 1. The incident light rays produced by the lithography illumination source on the focus of the condenser form the Kohler illumination through the condenser at the exit surface [38]. Subsequently, the diffraction rays of the mask are generated when the rays emitted from the illumination source pass through the mask. The part-level diffraction rays with the feature information of the mask are collected to obtain an aerial image on the wafer because the projection lens of lithography is a low-pass filter. The photochemical reaction of the light beams and photoresist on the surface of the wafer can record the diffraction information of the mask. The features of the mask can be displayed on the wafer through development, etching, and other processes. Because of the low-pass filter, the high-frequency diffraction information of the mask is lost, leading to a lower imaging quality and poor pattern fidelity at the advanced node. Hence, the pixeled SMO method can be employed to improve imaging quality and pattern fidelity by optimising the source shape and mask layout.

 figure: Fig. 1.

Fig. 1. Schematic of the lithography immersion imaging model.

Download Full Size | PDF

The lithography imaging model can be explained using a partially coherent imaging (PCI) model. According to Abbe’s imaging method, PCI can be equivalent to the accumulation of completely coherent imaging with the distribution of each point source in the extended source [39]. Therefore, for a point source on the optical axis, the completely coherent imaging process can be expressed by Eq. (1) in the frequency domain [38]:

$${I_{CCI}}(x,y) = {\left|{\smallint\!\!\!\smallint\limits_\infty {H(f + f^{\prime},g + g^{\prime})M(f^{\prime},g^{\prime}){e^{ - i2\pi (xf^{\prime} + yg^{\prime})}}df^{\prime}dg^{\prime}} } \right|^2}$$
where ${I_{CCI}}(x,y)$ is the intensity distribution of the aerial image with completely coherent imaging and $(x,y)$ represents the spatial coordinates on the image plane. Furthermore, $(f,g)$ and $(f^{\prime},g^{\prime})$ are the frequency-spectrum coordinates of the pupil plane and mask, respectively. In the simulation of the imaging process, these coordinates were normalised. The pupil function of the imaging system, $H(f,g)$, can be expressed as a circle. $M(f^{\prime}, g^{\prime})$ denotes the frequency spectrum of the mask derived from the Fourier transform operation. Therefore, the PCI imaging process can be realized by shifting the pupil according to the position of the pixel point of each source in the coordinate system. The intensity distribution of the aerial image in the PCI model can be calculated as follows:
$$I(x,y) = \smallint\!\!\!\smallint\limits_\infty {S(f,g){{\left|{\smallint\!\!\!\smallint\limits_\infty {H(f + f^{\prime},g + g^{\prime})M(f^{\prime}, g^{\prime}){e^{ - i2\pi (xf^{\prime} + yg^{\prime})}}df^{\prime}dg^{\prime}} } \right|}^2}dfdg}$$

In Eq. (2), $I(x,y)$ represents the intensity distribution of aerial images in optical lithography. $S(f,g)$ is an extended illumination source. To simulate the imaging model, Eq. (2) can be approximately expressed as

$$I(x,y) = \sum\limits_{\boldsymbol f} {\sum\limits_{\boldsymbol g} {S({\boldsymbol f},{\boldsymbol g}){{{\cal {F}}}^{\textrm{ - 1}}}{{\{{P({\boldsymbol f},{\boldsymbol g})\cdot M} \}}^2}} } \textrm{ }\textrm{(}{\boldsymbol f} = {f_1}\textrm{, }{f_2}, \cdots ,{f_n};{\boldsymbol g} = {g_1}\textrm{, }{g_2}, \cdots ,{g_n}\textrm{)}$$
where $S({\boldsymbol {f,g}})$ represents the efficient pixels of the source. ${{{\cal {F}}}^{ - 1}}\{{\cdot} \}$ is an inverse Fourier transform operation. $H({\boldsymbol {f,g}})$ indicates that the pupil is shifted with the position change of the source point $({f_n},{g_n})$. In the simulation, the photoresist effect was approximated using a sigmoid function. The printed image of the aerial image $I$ on the wafer surface can be displayed after development.
$$RI \approx sigmoid\{{I(x,y)} \}= \frac{1}{{1 + \exp [ - \alpha (I(x,y) - {t_r})]}}$$

In the equation above, $RI$ is the layout distribution of resist image. $sigmoid\{{\cdot} \}$ represents a threshold function. $\alpha$ is the steepness index and ${t_r}$ is the threshold of the photoresist.

2.2 Optimisation strategies of source and mask

In the pixel-based SMO method, the approaches for improving the pixeled source and mask can directly affect the convergence capability of the optimisation model. For this optimisation model, both the pixeled source and mask were gridded with the sampling number in the Cartesian coordinate system. The lateral dimensions of the source and mask were defined using ${N_s}$ and ${N_m}$, respectively. Therefore, the pixel source and mask are ${N_s} \times {N_s}$ and ${N_m} \times {N_m}$ matrices, respectively. With optical axial symmetry, the source can be divided into four equivalent parts. Hence, only the valid pixel points in the quarter of the source were utilised as optimised variates in the SO model, as illustrated in Fig. 2.

 figure: Fig. 2.

Fig. 2. The flow of optimising source; (a) the initial source shape; (b)the optimisation matrix of encoded source; (c) the randomly-generated optimisation population; (d) the opted optimum; (e) the method of blurring source; (f) the final source shape.

Download Full Size | PDF

We assume that the annular illumination source with the inner partially-coherent factor ${\sigma _{in}}$ and the outer partially-coherent factor ${\sigma _{out}}$ is employed as the initial source in the SO model. Based on the symmetry characteristics, the optimised quarter part can be flipped to recover the complete source shape, and ${J_1}$ in Fig. 2(a) is adopted to explain the optimisation process for the SO model. The pixel points in the annulus region are extracted as optimised variates, and the size of the encoded storage matrix ${S_{{J_1}}}$ is $1 \times m$, represented as follows:

$${S_{{J_1}}} = find({S_{{J_1}}}({\boldsymbol f},{\boldsymbol g}) ={=} 1) = [{{s_1},{s_2}, \cdots ,{s_m}} ]$$
$${P_r} = {S_{{J_1}}}\cdot rand(n,m) = \left[ {\begin{array}{cccc} {{r_{11}}}&{{r_{12}}}& \cdots &{{r_{1m}}}\\ {{r_{21}}}&{{r_{22}}}& \cdots &{{r_{2m}}}\\ \vdots & \vdots & \ddots & \vdots \\ {{r_{n1}}}&{{r_{n2}}}& \cdots &{{r_{nm}}} \end{array}} \right]$$
where ${S_{{J_1}}}(f,g)$ represents all pixel points in ${J_1}$. The required point ${s_m}$ can be indexed by function $find({\cdot} )$. In the SO model, the initial value of valid and useless pixel points is 1 and 0, respectively. The updated iterative population ${P_r}$ generated by Eq. (6) is essential because the heuristic algorithm requires a large-scale variable matrix to enhance the convergence efficiency in the SO model. The function $rand(n,m)$ is employed to produce an $n \times m$ matrix, where n and m represent the population size and the individual length respectively. And each random variable ${r_{nm}}$ is indicated as a particle in this population. The optimal value of pixel points ${\boldsymbol v}$ from ${J_1}$ is generated by iteratively searching optimum, where ${\boldsymbol v = }[{{v_1},{v_2}, \cdots ,{v_m}} ]$. The discrete source shape can be completely recovered by mirror operation with indexing the position of point in the storage matrix. The blurred source shape generated by a fuzzy operation is necessary for the finite illumination resolution [9] [40]. In Fig. 2(e), the process of blurring source, which can be represented as the convolutional operation with a Gaussian filter is displayed. This is expressed as follows:
$${S_{fuzzy}} = GF \otimes S$$
${S_{fuzzy}}$ is a blurred source, and the value of each pixel source is between 0 and 1. $S$ is the initial randomised source or updated source for each iteration. $GF$, represented as a Gaussian filter, is a Gaussian matrix, and the value of each element of this matrix is between 0 and 1. ${\otimes}$ is a symbol of the convolutional operation. After a fuzzy operation per iteration, the source is employed as an essential part of the SO model to complete the imaging process. We assume that the isolated four-fold symmetrical pattern shown in Fig. 3(a) is employed to calculate the intensity distribution of the aerial image and actual contour on the wafer using Eq. (3) and Eq. (4). The optical diffraction distorts imaging fidelity on the wafer, which is displayed by the red curve called the actual contour in Fig. 3(b). Consequently, it is essential to implement an optimisation method for improving the image fidelity on the wafer.

 figure: Fig. 3.

Fig. 3. Approximate simulation results of an isolated four-fold symmetrical pattern; (a) an isolated four-fold symmetrical pattern, and (b) the actual results on the wafer.

Download Full Size | PDF

Similarly, for the four-fold symmetrical-pattern layout, the updated variates can be concentrated in a quarter field of the mask. The split method of the mask is illustrated in Fig. 3(a); the optimised region is shown in green. The divided mask was catalogued as M1 through M4. According to the tendency of the distorted contour shown in Fig. 3(b), contracting inward bilateral lines and extending outward vertical lines can effectively achieve the desired contour. In the MO model, the pixel points of the green-dashed area in M1 were utilised as updated variables. For a more effective implementation of the optimisation flow, it is necessary to encode the pixels in the green-dashed area. Hypothetically, three points were employed to restrict the optimisation area on the mask boundary. The index positions of the three points are encoded as the top-middle point $({T_{mx}},{T_{my}})$, top-right point $(T{R_{mx}},T{R_{my}})$, and middle-right point $({R_{mx}},{R_{my}})$ in the ${N_M} \times {N_M}$ mask matrix. We assume that the green-dashed area is formed by extending outward ${n_{out}}$ pixels and shrinking inward ${n_{in}}$ pixels with respect to the mask boundary in the M1 region. The three points are $({T_{mx}},{T_{my}} + {n_{out}})$, $(T{R_{mx}} + {n_{out}},T{R_{my}} + {n_{out}})$, and $({R_{mx}} + {n_{out}},{R_{my}})$ are employed to limit the outside of the green-dashed area. Similarly, the internal range is restrained by $({T_{mx}},{T_{my}} - {n_{in}})$, $(T{R_{mx}} - {n_{in}},T{R_{my}} - {n_{in}})$, and $({R_{mx}} - {n_{in}},{R_{my}})$. Thus, the optimisation variable matrix is expressed as follows:

$${{\boldsymbol v}_M} = [{reshape(v_M^1,1),reshape(v_M^2,1),reshape(v_M^3,1)} ]$$
Where
$$\begin{array}{l} v_M^1 \in m(x,y)|{T_{mx}} \le x \le T{R_{mx}} + {n_{in}},{T_{my}} - {n_{in}} \le y \le {T_{my}} + {n_{out}};\\ v_M^2 \in m(x,y)|T{R_{mx}} + {n_{in}} \le x \le T{R_{mx}} + {n_{out}},T{R_{my}} - {n_{in}} \le y \le T{R_{my}} + {n_{out}};\\ v_M^3 \in m(x,y)|{R_{mx}} - {n_{in}} \le x \le {R_{mx}} + {n_{out}},{R_{my}} \le y \le T{R_{my}} - {n_{in}};\\ v_M^1,v_M^2,v_M^3 \in [{0,1} ]. \end{array}$$

The green-dashed area can be divided into three matrix blocks ($v_M^1$, $v_M^2$ and $v_M^3$ in Eq. (8)). The per-matrix block is necessarily transformed into a row vector by function $reshape({\cdot} )$ for lowering the dimension of the optimisation model. Subsequently, all transformed row vectors compose a total vector ${{\boldsymbol v}_M}$. The index range of pixel position of the per-matrix block is defined in Eq. (9). In the mask optimisation model, the mask M can be recovered by mirror operation of M1. According to each iteration result, the transmittance value of mask pixels is continuous grayscale variation. Nevertheless, the high-complexity of grayscale mask, Mg, makes it difficult to fabricate. In this study, the threshold method is applied to achieve the binary mask with each iteration, which is expressed as follows:

$${\boldsymbol M} = \Gamma ({{M_g} - 0.5} )$$

In Eq. (10), the threshold function $\Gamma ({\cdot} )$ indicates that the pixel value of Mg is updated to 1 when it is greater than 0.5. Conversely, the corresponding pixel value is set to zero.

2.3 Flow of TS-GA model

The merit function (MF) is a requisite factor in the iterative optimisation model, employed to assess the iterative capacity. In this study, the total absolute value of the difference between the desired pattern (DP) and resist image, called pattern errors (PEs), is defined as the MF to complete the iteration process, which is expressed as follows:

$$\begin{array}{cl} PEs = \mathop {minimize}\limits_{i,j} &\sum {|{RI({x_i},{y_j}) - {M^\ast }({x_i},{y_j})} |} \\ subject\textrm{ }to&i \in [1,{N_M}],\textrm{ }j \in [1,{N_M}]\\ &\textrm{ 0} \le ({x_i},{y_j}) \le 1 \end{array}$$

The objective function, $PEs$, in Eq. (11) minimises the difference between the $RI$ and desired pattern, where the desired pattern ${M^\ast }$ is extensively replaced by the actual input mask layout and $|\cdot |$ is the absolute operation. In the model, $RI$ and ${M^\ast }$ have the same dimensional matrix. The constrained value of pixel point $({x_i},{y_j})$ is between 0 and 1. The intensity distribution of the optimised aerial image can be calculated using Eq. (3), for the new source and mask after each loop. The corresponding updated RI can be obtained using Eq. (4).

In ILTs, the high-concern SMO method optimises the source shape and mask layout by minimising the value of the $PEs$ until the iteration is terminated or the optimal value is generated. Owing to the sophisticated computation model of optical lithography, traditional optimisation algorithms, such as GA, have not achieved high efficiency because advanced nodes are continuously evolving. To overcome the low-convergence aptitude of the GA applied to SMO, combining it with other local optimisation algorithms, particularly TS, can help expeditiously avoid local optima to improve the performance of the iteration model. The encoding form of the variables directly impacts the efficiency of the proposed method. The initial status and encoding scheme with optimised variables are illustrated in Fig. 4. The lower-complexity encoding form can expediently perform mutation and crossover operations for the proposed approach. The optimised source shape and mask layout can also be directly constructed using the corresponding index label of the matrix.

 figure: Fig. 4.

Fig. 4. Encoding scheme of optimised variables with source and mask.

Download Full Size | PDF

According to the process of pixel-based SO model illustrated in Fig. 2, only the quarter-effectual pixel points of meshed source is optimised. The multi-population regarded as a group in TS-GA model improves the convergence efficiency of SMO by widening the search ability. The coding form of these variables is exhaustively explained in Fig. 4(a), where $N_R^S$ and $N_C^S$ are separate population sizes and the length of optimised source valid pixels, respectively. The quarter-optimised region of mask is encoded using the manner shown in Fig. 4(b), where $N_R^M$ and $N_C^M$ respectively represent the population size and variable length of optimised mask. $\Gamma [0.5]$ completes the conversion of binary mask in accordance with the grayscale levels. In the initial stage, all the optimised pixels are operated via initialisation with function $rand({\cdot} )$ to generate the $N_R^S \times N_C^S$ and $N_R^M \times N_C^M$ matrices. The optimisation flow of the proposed approach is illustrated in Fig. 5. According to the initial population of source and mask in the SMO model, the fitness value can be calculated to select the initial optimal entity ${P_{best}}$ and fitness value ${G_{best}}$ of $PEs$ for executing the proposed approach. First, the optimisation of source is performed via GA-TS in the SMO process for generating the global optimal source matric ${S_{opt}}$. Subsequently, the result of SO including the intensity distribution of source and current best $PEs$ are utilised to conduct the optimising mask loop.

 figure: Fig. 5.

Fig. 5. The flow of the proposed approach

Download Full Size | PDF

As an evolutionary algorithm to handle the optimisation problem, multifarious strategies with respect to mutation and crossover operations have been proposed to enhance the convergence capability of the GA. In the GA-TS model, the GA executes mutation and crossover operations to modify the current variables for the optimal option. The mutation and crossover processes are presented in Algorithm 1. The population can be updated based to a randomly-generated value. To alter the population, an increment function was constructed, as follows:

$$\Delta {\xi _{mut}} = rand \ast (1 - {t / {iter{)^{2}}}}$$
$${\boldsymbol P}(k,m) = {\boldsymbol P}(k,m) \ast (1 - \varDelta {\xi _{mut}})$$
$${\boldsymbol P}(k,m) = {\boldsymbol P}(k,m) \ast (1 + \varDelta {\xi _{mut}})$$

oe-30-14-24166-i001

Where $\Delta {\xi _{mut}}$, as an increment, is randomly generated per iteration, t is the current iterative value, and $iter$ represents the total iterations in Eq. (12). Equations (13) and (14), indicate the increase and reduction in ${\boldsymbol P}$ in the ${k_{th}}$ row and ${m_{th}}$ column, respectively. The population ${\boldsymbol P}$ includes the variable populations of the encoded source and mask, ${\boldsymbol P}:{P_S}|{P_M}$. Therefore, the crossover operation is an essential component in the optimisation process using a GA to improve iteration efficiency. In this process, the crossover node is established as follows.

$${\chi _{obj}} = \Phi [(Nu{m_p} - 1) \ast rand + 1]$$
$${\chi _{node}} = \Phi [(length({\boldsymbol P}) - 1) \ast rand + 1]$$
where $\Phi $ represents an operation symbol of mathematics that rounds variables to the nearest integer. $Nu{m_p}$ indicates the size of an independent unit with respect to ${\boldsymbol P}$. $length({\cdot} )$ is a vector-length function that acquires an individual number.

Although GA has superior performance in global optimisation, the low efficiency of local search makes the search process in late evolution time consuming. To overcome this challenge, TS has been proposed to improve the performance of optimisation models. The TS process is presented in Algorithm 2. In each loop of the TS model, the optimal solution is recorded in the tabu list to achieve global optimisation. Consequently, the length of the tabu list can determine the probability of maintaining the local optimum, which is represented as follows:

$${L_t} = \Phi \left( {\sqrt {{{[{size({\boldsymbol P}) \ast (size({\boldsymbol P}) - 1)} ]} / 2}} } \right)$$
where the means of ${L_t}$ indicate the length of the tabu list, which is the volume of holding the selected individual. $size({\cdot} )$ represents the number of elements comprising the variables. To expand the search range in the domain solution in the TS process, an adaptive nonlinear control strategy is employed to enhance the optimisation ability, as shown in the following equation:
$$w(t) = \frac{{{w_{max}} + {w_{min}}}}{2} + tanh( - \varepsilon + \frac{{2\varepsilon (iter - t)}}{{iter}})\frac{{{w_{max}} - {w_{min}}}}{2}$$

In Eq. (18), $tanh({\cdot} )$ is a curvilinear function that can manipulate the weight coefficient $w$ per iteration according to constant $\varepsilon$. ${w_{max}}$ and ${w_{min}}$ indicate the maximum and minimum weight coefficients utilised to restrict the search speed at the beginning of the loop. Additionally, the domain solution ${{\mathbb N}_d}$ can provide a new population on the basis of optimal population ${{\boldsymbol P}_{best}}$ from the GA model to expand the scope of the local search, where ${{\boldsymbol P}_{best}}:{P_{S,best}}|{P_{M,best}}$. The domain solution is expressed as follows:

$${{\mathbb N}_d} = {\boldsymbol P} + [{2 \ast \Phi (1,size({\boldsymbol P}) - 1} ]\ast w(t)$$

oe-30-14-24166-i002

3. Simulation and analysis

This section describes the application of the proposed approach to several simulations to demonstrate its optimisation efficiency. In this study, these simulations were executed to achieve a better fitting source shape and mask layout according to the final pattern RI. A pixel-based SMO model was established in the 45nm node using a 193nm immersion lithography system. In this typical partially-coherent imaging model, the pixeled source, which has an annular shape in the initialisation, as shown in Fig. 6(a), is meshed as a 41 × 41 matrix. The numerical aperture NA is 1.35 on the image plane. To conduct and verify the simulation using the proposed approach, two four-fold symmetrical-structure patterns shown in Fig. 6 were applied as the input of the SMO model. The critical dimension of these mask patterns was 45 nm. The horizontal block pattern and the complex pattern, as shown in Fig. 6(a) and Fig. 6(b), were respectively pixelated as the 100 × 100 matrix and 216 × 216 matrix. In these pixelated matrices, the pixel size was set to be 5.625 × 5.625 nm. To guarantee a continuously varying grayscale levels, the pixelated source is blurred using Eq. (7). The sigmoid function expressed in Eq. (4) can approximate the photoresist effect to achieve a resist pattern on the wafer, where $\alpha = 85$ and ${t_r} = 0.28$.

 figure: Fig. 6.

Fig. 6. The initial mask layout used for SMO; (a) the horizontal block pattern (M1); (b) the complex pattern (M2)

Download Full Size | PDF

In these simulations, SO and MO were executed in multiple runs to verify the acceptable optimisation performance of the proposed approach. The optimisation region of the source is restricted to the range of ${\sigma _{in}}$ and ${\sigma _{out}}$, with the distribution of pixels. For enhancing the imaging performance of simulations, the sources respectively corresponding to M1 and M2 were assigned to S1 (${\sigma _{in}} = 0.65$ and ${\sigma _{out}} = 0.95$) and S2 (${\sigma _{in}} = 0.4$ and ${\sigma _{out}} = 0.95$). Considering the special distribution of the source described in Section 2.2, there are $N_C^S$ pixels (${S_1}:N_C^S = 157,{S_2}:N_C^S = 246$) in a quadrant. Similarly, the encoding method of the mask is explained in Section 2.3, and the optimised variables consist of $N_C^M$ pixels (${M_1}:N_C^M = 402,{M_2}:N_C^M = 1959$) in a quadrant. For the same initial condition at the beginning of SMO, it is essential to fix the source population. There are $Nu{m_g} = 25$ individuals in the population of each source.

3.1 Simulations using the horizontal block pattern

Figure 7 illustrates the convergence curve of simulation with M1. After decoding and recombining the sources with the individuals, the best $PEs$ represented in Fig. 7(a), which is the starting solution of the iteration optimisation in SO, can be calculated using Eq. (11). The convergence performances with five runs of each method implemented for SO and MO are illustrated in Fig. 7, where the red and blue lines represent the convergence tendencies of GA and GA-TS, respectively. In the convergence curves, the update trends of the proposed approach fall faster than those of the GA before 200 iterations. The proposed approach maintained the convergence status steadily by executing multiple runs. Furthermore, more satisfactory $PEs$ were obtained by using the proposed approach. The convergence capacity of the proposed approach is significantly enhanced by combining it with the GA because of the superior efficiency of the TS algorithm in local search. There were 500 loops to iteratively optimise the objective by minimising the $PEs$ in the sequential simulations. The $PEs$ with iterations stopping are presented in Table 1.

 figure: Fig. 7.

Fig. 7. Comparison of convergence performance between GA and GA-TS. For SO, the initial PEs with the start solution of each iteration is 1164.63 in (a). For MO, the initial PEs with each approach were respectively 1097.82 and 1033.41 in (b).

Download Full Size | PDF

Tables Icon

Table 1. Optimal PEs’ comparison with two optimisation methods

Table 1 lists the results of $PEs$ for optimising the source and mask using the GA and the proposed approach. From the change in the value of the $PEs$, the performance of the proposed method with SO and MO is more substantial than that of the traditional GA. Owing to the exceptional search capacity of TS, it enhances the search scope of the GA in the domain of optimal value with each loop to achieve the best imaging solution globally. In this inverse lithography simulation, the optimisation procedure was divided into two parts: SO and MO. The optimal source parameters with SO, which were the distribution and grayscale value of valid pixels, were used as the imaging solution for executing the optimisation mask operation.

Figure 8 shows a valid pixel intensity distribution of the annular source. The optimisation results of the SO with five runs of GA and GA-TS are represented in the top and bottom rows, respectively. The optimised source shapes have a similar distribution, which is consistent with the quadrupole illumination mode in lithography owing to the feature of the mask layout. Nevertheless, by contrasting the two groups, it is apparent that the layout of these valid pixels in source utilising the proposed approach is more concentrated than the optimisation results with the GA. In the vertical direction of the quadrupole illumination mode, it is more prominent that there is a tendency to be almost circular in source shape using the proposed approach in Fig. 8(f)–8(j). The shape formed by valid pixels in the horizontal direction is approximately an ellipse in the GA-TS optimisation results. Furthermore, the source shape achieved by the GA has a uniform grayscale levels distribution. There is a normal distribution in the grayscale value of the pixels when SO utilises the proposed approach. Hence, different optimisation methods can guarantee a similar optimisation trend for the source shape according to the mask layout employed to achieve the imaging process. It is possible to improve the optimisation ability and obtain a better pixel grayscale value using the proposed approach by adding the local search strategy in the GA.

 figure: Fig. 8.

Fig. 8. The results of SO. From top to bottom: the simulation results of SO respectively via GA, GA-TS. From left to right: the simulation results with five runs.

Download Full Size | PDF

Similarly, the simulations of MO using GA and GA-TS were run five times. The results are shown in Fig. 9 and Fig. 10, where the optimised mask layout and contours of the final resist pattern are displayed at the top and bottom, respectively. In the simulations, the optimal source in the SO results with five runs was selected as the input solution in the imaging process to optimise the mask layout. An edge-optimisation strategy was employed to optimise the mask pattern, as shown in Fig. 3(a). Based on the initial mask layout, the pixels can be sampled as optimised variables in the region that extends outward by two pixels and inward by one pixel. The optimised pixel values were limited to between 0 and 1. After each iteration, they can be determined as 0 and 1 using Eq. (10) to satisfy the binarization of the mask to reduce the complexity. In Fig. 9, although the optimised masks have a lower complexity, the final contour of the resist pattern (RC) represented by the red curve cannot satisfy these expectations. According to the $PEs$ after MO using GA in the third column of Table 1, these values show a modest change, which is compared with the results of SO.

 figure: Fig. 9.

Fig. 9. The results of MO using GA. The first row: the optimized mask layout. The second row: the comparison of RC and DP.

Download Full Size | PDF

 figure: Fig. 10.

Fig. 10. The results of MO using the proposed approach. The first row: the optimized mask layout. The second row: the comparison of RC and DP.

Download Full Size | PDF

Figure 10 shows the MO results obtained using the proposed approach. In the partially- coherent imaging model, the best SO result in five runs with GA-TS was also applied as the illumination source. The search optimisation strategy of TS is employed to enhance the ability to update the parameters of the mask. The adjacent values of the variables can be achieved based on the results generated by the GA in each loop. The convergence performance of the proposed approach is significantly improved. From the results of the SMO using the proposed approach, the resist contour is closer to the desired pattern. And the $PEs$ listed in Table 1 have a significant reduction compared to the SO results. In consequently, the proposed approach can achieve the superior convergence capability for improving the imaging quality and fidelity of optical lithography.

3.2 simulations using the complex pattern

In order to evaluate the convergence performance of the proposed approach on the different mask layout patterns, the complex mask (M2) shown in Fig. 6(b) was employed to achieve the SMO. Figure 11 illustrates the simulation results of SMO based on M2 with CD = 45 nm. Two rows from top to bottom represent the results of SMO with the GA and the GA-TS, respectively. Comparing the optimisation results of source via the GA and the GA-TS, as shown in Fig. 11(a) and Fig. 11(e) respectively, the source shape with the GA-TS is more regular than the results with the GA. Moreover, the pixels intensity of source is more centralized. The second column of Fig. 11 represents the optimized mask layout using different approaches. Although the complexity of mask layout using the GA is lower than the result with the GA-TS, the imaging performance has a significant difference. The imaging fidelity of resist pattern using the GA-TS, which is shown in Fig. 11(g), has a better performance than the result with the GA. Therefore, the resist pattern, which is achieved by the optimized mask layout with the proposed approach, can be closer to the desired pattern. And the proposed approach has a higher-convergence performance.

 figure: Fig. 11.

Fig. 11. The results of SMO via different optimisation approaches. The first row: the simulation results of SMO with the GA. The second row: the simulation results of SMO with the GA-TS. From left to right: the optimized source, the optimized mask layout, the resist pattern, and the comparison of RC and DP, respectively.

Download Full Size | PDF

Figure 12 illustrates the convergence performance of SMO using M2, where the red lines and blue lines represents respectively the convergence curve of GA and GA-TS. In these simulations, the initial solutions of iteration model were executed the same operation as the simulation of M1. It is obvious that the iteration performance of the GA-TS is higher-efficient. The convergence curve of MO is represented in Fig. 12(b), where the initial PEs in GA and GA-TS are 6179.40 and 6163.80, respectively. Before approximately 110 iterations, the convergence trends of different approaches remain consistent. However, owing to the high performance of TS in local search, the operation of avoid local optimum can be achieved in the procedure of MO with the GA-TS.

 figure: Fig. 12.

Fig. 12. Comparison of convergence performance between GA and GA-TS. For SO, the initial PEs with the start solution of each iteration is 6175.7808 in (a). For MO, the initial PEs with each approach were respectively 6179.40 and 6163.80 in (b).

Download Full Size | PDF

4. Conclusion

In this study, the GA-TS approach was proposed to optimise the pixel-based source and mask in ILTs. The Gaussian filtering operation was employed to address the discontinuous grayscale levels in the SO. An edge-optimisation strategy was implemented to decrease the total complexity in the flow of the optimising mask. The representative TS method, which has a local searching function, was hybridised with the GA to compensate for the weak local optimisation capability of the GA. The optimal solution of PEs in SMO is defined by solving the multivariable merit function to improve the imaging quality and fidelity of optical lithography. A typical GA was employed to achieve pixeled SMO to verify the superiority of the proposed approach. By comparing the simulation results of the two methods in SMO, the global optimisation ability of the proposed approach can be improved owing to the excellent local search capability of the TS. The simulation results confirm that the GA-TS approach with the new MO strategy enhances the optimisation capacity and convergence performance of the pixeled SMO.

A. Appendix: derivation of Eq. (1)

According to the Fraunhofer diffraction model, the complex amplitude distribution of the mask (M). on the image plane can be expressed as:

$${\tilde{U}_0}({{x_0},{y_0}} )= \smallint {\smallint_{ - \infty }^{ + \infty } {{U_0}({\alpha ,\beta } )\delta ({{x_0} - \alpha ,{y_0} - \beta } )} } d\alpha d\beta $$
where $\delta ({{x_0} - \alpha ,{y_0} - \beta } )$ is an impulse function. The light field ${\tilde{U}_i}({{x_i},{y_i}} )$ on the surface of mask (M) can be decomposed into a linear combination of countless impulse functions. Assume that $L\{{\cdot} \}$ is the transformation function to transform the input information of system. And the impulse function can be converted to $L\{{\delta ({{x_0} - \alpha ,{y_0} - \beta } )} \}= \tilde{h}\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)$. Assume that the lateral magnification of the imaging system is M. Hence, Eq. (20) can be expressed as:
$${\tilde{U}_i}({{x_i},{y_i}} )= \frac{1}{{{T^2}}}\smallint {\smallint_{ - \infty }^{ + \infty } {{{\tilde{U}}_0}\left( {\frac{{{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0}}}{T},\frac{{{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}}}{T}} \right)\tilde{h}\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)} } d{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} _0}d{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} _0}$$
where ${\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} _0} = T \cdot {x_0},{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} _0} = T \cdot {y_0}$. The impulse response function $\tilde{h}\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)$ can be explained by:
$$\begin{array}{l} \tilde{h}\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)\\ = \tilde{K}{\lambda ^2}d_i^2\smallint {\smallint_{ - \infty }^{ + \infty } {P\left( {\lambda {d_i}\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} ,\lambda {d_i}\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} } \right)exp \left\{ { - j2\pi \left[ {\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0}} \right)\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} + \left( {{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} } \right]} \right\}d\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} d\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} } } \end{array}$$
where $\tilde{K}$ is the complex constant, $\lambda $ is the source wavelength, $\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} = {x / {\lambda {d_i}}},\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} = {y / {\lambda {d_i}}}$, $P({x,y} )$ is the exit pupil function, and ${d_i}$ is the distance from the exit pupil to the image plane. In the ideal imaging case, the diffraction of pupil can be approximately eliminated. Hence,
$$\tilde{h}\left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right) = \tilde{K}{\lambda ^2}d_i^2\delta \left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)$$

The ideal image ${\tilde{U}_g}({{x_i},{y_i}} )$ can be derived by substituting Eq. (23) into Eq. (20),

$${\tilde{U}_g}({{x_i},{y_i}} )= \frac{{\tilde{K}{\lambda ^2}d_i^2}}{{{T^2}}}{\tilde{U}_0}\left( {\frac{{{x_i}}}{T},\frac{{{y_i}}}{T}} \right)$$

Assume that ${\mathop {\tilde{h}}\limits^{\frown}} \left( {x_i} - {\mathop x\limits^{\frown}}_0,{y_i} - {\mathop y\limits^{\frown}}_0 \right) = \frac{1}{{\tilde{K}{\lambda ^2}d_i^2}}\tilde{h}\left( {x_i} - {\mathop x\limits^{\frown}}_0,{y_i} - {\mathop h\limits^{\frown}}_0 \right)$. According to Eq. (21), the complex amplitude distribution of the image can be expressed as:

$$\begin{aligned} {{\tilde{U}}_i}({{x_i},{y_i}} )&= \frac{{\tilde{K}{\lambda ^2}d_i^2}}{{{M^2}}}\smallint {\smallint_{ - \infty }^{ + \infty } {{{\tilde{U}}_0}\left( {\frac{{{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0}}}{T},\frac{{{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}}}{T}} \right){\mathop {\tilde{h}}\limits^{\frown}} \left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)} } d{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0}d{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}\\ &= \smallint {\smallint_{ - \infty }^{ + \infty } {{{\tilde{U}}_g}\left( {{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right){\mathop {\tilde{h}}\limits^{\frown}} \left( {{x_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0},{y_i} - {{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}} \right)} } d{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over x} }_0}d{{\mathord{\buildrel{\lower3pt\hbox{$\scriptscriptstyle\frown$}} \over y} }_0}\\ &= {{\tilde{U}}_g}({{x_i},{y_i}} )\otimes {\mathop {\tilde{h}}\limits^{\frown}} ({{x_i},{y_i}} )\end{aligned}$$

Executing the Fourier transform operation of Eq. (25),

$$\begin{array}{l} F\{{{{\tilde{U}}_i}({{x_i},{y_i}} )} \}= F\left\{ {{{\tilde{U}}_g}({{x_i},{y_i}} )\otimes {\mathop {\tilde{h}}\limits^{\frown}} ({{x_i},{y_i}} )} \right\}\\ = F\{{{{\tilde{U}}_g}({{x_i},{y_i}} )} \}F\left\{ {{\mathop {\tilde{h}}\limits^{\frown}} ({{x_i},{y_i}} )} \right\} \end{array}$$

Then,

$${\tilde{G}_i}({f,g} )= {\tilde{G}_g}({f,g} )\tilde{H}({f,g} )$$
where ${\tilde{G}_i}({f,g} )$ is the spectrum function on the image plane, ${\tilde{G}_g}({f,g} )$ is the spectrum function of the object, and $\tilde{H}({f,g} )$ is the coherent transfer function of the imaging system. $F\{{\cdot} \}$ is the Fourier transform function. Hence, the intensity distribution of aerial image can be expressed by:
$$\begin{aligned} I(x,y) &= {|{{{\tilde{G}}_i}({f,g} )} |^2}\\ &= {\left|{\smallint {\smallint_{ - \infty }^{ + \infty } {M(f^{\prime},g^{\prime})H(f + f^{\prime},g + g^{\prime}){e^{ - i2\pi (xf^{\prime} + yg^{\prime})}}df^{\prime}dg^{\prime}} } } \right|^2} \end{aligned}$$

B. Appendix: the list of abbreviations

Table 2 is below.

Tables Icon

Table 2. Description of the abbreviations

Funding

the project of the Western Light of Chinese Academy of Sciences; National Key Research and Development Program of China (2021YFB3200204); Youth Innovation Promotion Association of the Chinese Academy of Sciences (2021380); the science porject of Sichuan province (2018JY0203); National Natural Science Foundation of China (61604154, 61875201, 61975211, 62005287).

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. F. M. Schellenberg, “Resolution enhancement technology: the past, the present, and extensions for the future,” in B. W. Smith, ed. (2004), p. 1.

2. X. Ma, Y. Li, and L. Dong, “Mask optimization approaches in optical lithography based on a vector imaging model,” J. Opt. Soc. Am. A 29(7), 1300 (2012). [CrossRef]  

3. A. Isoyan and L. S. Melvin, “Optical proximity correction using holographic imaging technique,” J. Vac. Sci. Technol. 32(6), 06FK02 (2014). [CrossRef]  

4. X. Ma and G. R. Arce, “Informational Lithography Approach Based on Source and Mask Optimization,” IEEE Trans. Comput. ImagingG 7, 11 (2021). [CrossRef]  

5. Y. Shen, F. Peng, and Z. Zhang, “Semi-implicit level set formulation for lithographic source and mask optimization,” Opt. Express 27(21), 29659 (2019). [CrossRef]  

6. Z. Ding Niu, F. Zhang, L. Zhu, W. Shi, A. Zeng, and H. Huang, “Gradient-based source mask and polarization optimization with the hybrid Hopkins–Abbe model,” J. Micro/Nanolith. MEMS MOEMS 19(03), 1 (2020). [CrossRef]  

7. S. D. Peng Hsu, R. C. Howell, and Q. Li, “Lithography-defect-driven source-mask optimization solution for full-chip optical proximity correction,” Appl. Opt. 60(3), 616 (2021). [CrossRef]  

8. X. Ma, C. Han, Y. Li, L. Dong, and G. R. Arce, “Pixelated source and mask optimization for immersion lithography,” J. Opt. Soc. Am. A 30(1), 112 (2013). [CrossRef]  

9. G. Chen, S. Li, and X. Wang, “Source mask optimization using the covariance matrix adaptation evolution strategy,” Opt. Express 28(22), 33371 (2020). [CrossRef]  

10. Z. Zhang, S. Li, X. Wang, W. Cheng, and Y. Qi, “Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm,” Opt. Express 29(4), 5448 (2021). [CrossRef]  

11. X. Ma, Z. Wang, H. Lin, Y. Li, G. R. Arce, and L. Zhang, “Optimization of lithography source illumination arrays using diffraction subspaces,” Opt. Express 26(4), 3738 (2018). [CrossRef]  

12. Y. Sun, Y. Li, G. Liao, M. Yuan, P. Wei, Y. Li, L. Zou, and L. Liu, “Sampling-based imaging model for fast source and mask optimization in immersion lithography,” Appl. Opt. 61(2), 523 (2022). [CrossRef]  

13. J.-C. Yu and P. Yu, “Choosing objective functions for inverse lithography patterning,” Proc. SPIE 7973, Optical Microlithography XXIV, p. 79731N (2011). [CrossRef]  

14. R. Matsui, T. Noda, H. Aoyama, N. Kita, T. Matsuyama, and D. Flagello, “Global source optimization for MEEF and OPE,” in W. Conley, ed. (2013), p. 86830O.

15. L. Liao, S. Li, X. Wang, L. Zhang, P. Gao, Y. Wei, and W. Shi, “Critical pattern selection method for full-chip source and mask optimization,” Opt. Express 28(14), 20748 (2020). [CrossRef]  

16. J.-C. Yu and P. Yu, “Gradient-based fast source mask optimization (SMO),” in (2011), p. 797320.

17. X. Ma, L. Dong, C. Han, J. Gao, Y. Li, and G. R. Arce, “Gradient-based joint source polarization mask optimization for optical lithography,” J. Micro/Nanolith. MEMS MOEMS 14(2), 023504 (2015). [CrossRef]  

18. N. Jia and E. Y. Lam, “Pixelated source mask optimization for process robustness in optical lithography,” Opt. Express 19(20), 19384 (2011). [CrossRef]  

19. J. Li and E. Y. Lam, “Robust source and mask optimization compensating for mask topography effects in computational lithography,” Opt. Express 22(8), 9471 (2014). [CrossRef]  

20. Y. Sun, N. Sheng, T. Li, Y. Li, E. Li, and P. Wei, “Fast nonlinear compressive sensing lithographic source and mask optimization method using Newton-IHTs algorithm,” Opt. Express 27(3), 2754 (2019). [CrossRef]  

21. Z. Song, X. Ma, J. Gao, J. Wang, Y. Li, and G. R. Arce, “Inverse lithography source optimization via compressive sening,” Opt. Express 22(12), 14180 (2014). [CrossRef]  

22. Y. Chen, Y. Lin, L. Dong, T. Gai, R. Chen, Y. Su, Y. Wei, and D. Z. Pan, “SoulNet: ultrafast optical source optimization utilizing generative neural networks for advanced lithography,” J. Micro/Nanolith. MEMS MOEMS 18(04), 1 (2019). [CrossRef]  

23. C. Malherbe and N. Vayatis, “Global optimization of Lipschitz functions,” arXiv:1703.02628 [stat] (2017).

24. F. Zitouni, S. Harous, A. Belkeram, and L. E. B. Hammou, “The Archerfish Hunting Optimizer: a novel metaheuristic algorithm for global optimization,” arXiv:2102.02134 [cs] (2021).

25. L. Wang, S. Li, X. Wang, G. Yan, and C. Yang, “Source optimization using particle swarm optimization algorithm in photolithography,” in K. Lai and A. Erdmann, eds., p. 94261L (2015).

26. T. Fühner, A. Erdmann, R. Farkas, B. Tollkühn, and G. Kókai, “Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems,” in Applications of Evolutionary Computing, G. R. Raidl, S. Cagnoni, J. Branke, D. W. Corne, R. Drechsler, Y. Jin, C. G. Johnson, P. Machado, E. Marchiori, F. Rothlauf, G. D. Smith, and G. Squillero, eds., Lecture Notes in Computer Science (Springer Berlin Heidelberg, 3005, pp. 208–218 (2004).

27. Y. Li, S.-M. Yu, and Y.-L. Li, “Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches,” J. Micro/Nanolith. MEMS MOEMS 12, 12 (2009). [CrossRef]  

28. Z. Zhang, S. Li, X. Wang, and W. Cheng, “Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling,” Opt. Express 29(14), 22778 (2021). [CrossRef]  

29. H. Sun, J. Du, C. Jin, J. Feng, J. Wang, S. Hu, and J. Liu, “Global source optimisation based on adaptive nonlinear particle swarm optimisation algorithm for inverse lithography,” IEEE Photonics J. 13(4), 1–7 (2021). [CrossRef]  

30. X. Ma, Z. Wang, J. Zhu, S. Zhang, G. R. Arce, and S. Zhao, “Nonlinear compressive inverse lithography aided by low-rank regularization,” Opt. Express 27(21), 29992 (2019). [CrossRef]  

31. Z. Wang, X. Ma, R. Chen, S. Zhang, and G. R. Arce, “Fast pixelated lithographic source and mask joint optimization based on compressive sensing,” IEEE Trans. Comput. Imaging 6, 981–992 (2020). [CrossRef]  

32. C. Yang, S. Li, and X. Wang, “Efficient source mask optimization using multipole source representation,” J. Micro/Nanolith. MEMS MOEMS 13(4), 043001 (2014). [CrossRef]  

33. Y. Sun, Y. Li, T. Li, X. Yan, E. Li, and P. Wei, “Fast lithographic source optimization method of certain contour sampling-Bayesian compressive sensing for high fidelity patterning,” Opt. Express 27(22), 32733 (2019). [CrossRef]  

34. W. Lv, S. Liu, X. Wu, and E. Y. Lam, “Illumination source optimization in optical lithography via derivative-free optimization,” J. Opt. Soc. Am. A 31(12), B19 (2014). [CrossRef]  

35. A. Poonawala and P. Milanfar, “Mask design for optical microlithography—An inverse imaging problem,” IEEE Trans. on Image Process. 16(3), 774–788 (2007). [CrossRef]  

36. A. Gu and A. Zakhor, “Optical Proximity Correction with Linear Regression,” IEEE Trans, Semiconductor Manuf. 21(2), 10 (2008). [CrossRef]  

37. T. Matsunawa, B. Yu, and D. Z. Pan, “Optical proximity correction with hierarchical Bayes model,” 10 (n.d.).

38. A. K.-K. Wong, Optical imaging in projection microlithography (SPIE, 2005).

39. X. Ma and G. R. Arce, Computational Lithography Wiley Series in Pure and Applied Optics (Wiley, 2010). [CrossRef]  

40. N. Hansen, “The CMA evolution strategy: a tutorial,” Tech. Rep., INRIA, arXiv: 1604.00772, (2016).

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (12)

Fig. 1.
Fig. 1. Schematic of the lithography immersion imaging model.
Fig. 2.
Fig. 2. The flow of optimising source; (a) the initial source shape; (b)the optimisation matrix of encoded source; (c) the randomly-generated optimisation population; (d) the opted optimum; (e) the method of blurring source; (f) the final source shape.
Fig. 3.
Fig. 3. Approximate simulation results of an isolated four-fold symmetrical pattern; (a) an isolated four-fold symmetrical pattern, and (b) the actual results on the wafer.
Fig. 4.
Fig. 4. Encoding scheme of optimised variables with source and mask.
Fig. 5.
Fig. 5. The flow of the proposed approach
Fig. 6.
Fig. 6. The initial mask layout used for SMO; (a) the horizontal block pattern (M1); (b) the complex pattern (M2)
Fig. 7.
Fig. 7. Comparison of convergence performance between GA and GA-TS. For SO, the initial PEs with the start solution of each iteration is 1164.63 in (a). For MO, the initial PEs with each approach were respectively 1097.82 and 1033.41 in (b).
Fig. 8.
Fig. 8. The results of SO. From top to bottom: the simulation results of SO respectively via GA, GA-TS. From left to right: the simulation results with five runs.
Fig. 9.
Fig. 9. The results of MO using GA. The first row: the optimized mask layout. The second row: the comparison of RC and DP.
Fig. 10.
Fig. 10. The results of MO using the proposed approach. The first row: the optimized mask layout. The second row: the comparison of RC and DP.
Fig. 11.
Fig. 11. The results of SMO via different optimisation approaches. The first row: the simulation results of SMO with the GA. The second row: the simulation results of SMO with the GA-TS. From left to right: the optimized source, the optimized mask layout, the resist pattern, and the comparison of RC and DP, respectively.
Fig. 12.
Fig. 12. Comparison of convergence performance between GA and GA-TS. For SO, the initial PEs with the start solution of each iteration is 6175.7808 in (a). For MO, the initial PEs with each approach were respectively 6179.40 and 6163.80 in (b).

Tables (2)

Tables Icon

Table 1. Optimal PEs’ comparison with two optimisation methods

Tables Icon

Table 2. Description of the abbreviations

Equations (28)

Equations on this page are rendered with MathJax. Learn more.

I C C I ( x , y ) = | H ( f + f , g + g ) M ( f , g ) e i 2 π ( x f + y g ) d f d g | 2
I ( x , y ) = S ( f , g ) | H ( f + f , g + g ) M ( f , g ) e i 2 π ( x f + y g ) d f d g | 2 d f d g
I ( x , y ) = f g S ( f , g ) F  - 1 { P ( f , g ) M } 2   ( f = f 1 f 2 , , f n ; g = g 1 g 2 , , g n )
R I s i g m o i d { I ( x , y ) } = 1 1 + exp [ α ( I ( x , y ) t r ) ]
S J 1 = f i n d ( S J 1 ( f , g ) = = 1 ) = [ s 1 , s 2 , , s m ]
P r = S J 1 r a n d ( n , m ) = [ r 11 r 12 r 1 m r 21 r 22 r 2 m r n 1 r n 2 r n m ]
S f u z z y = G F S
v M = [ r e s h a p e ( v M 1 , 1 ) , r e s h a p e ( v M 2 , 1 ) , r e s h a p e ( v M 3 , 1 ) ]
v M 1 m ( x , y ) | T m x x T R m x + n i n , T m y n i n y T m y + n o u t ; v M 2 m ( x , y ) | T R m x + n i n x T R m x + n o u t , T R m y n i n y T R m y + n o u t ; v M 3 m ( x , y ) | R m x n i n x R m x + n o u t , R m y y T R m y n i n ; v M 1 , v M 2 , v M 3 [ 0 , 1 ] .
M = Γ ( M g 0.5 )
P E s = m i n i m i z e i , j | R I ( x i , y j ) M ( x i , y j ) | s u b j e c t   t o i [ 1 , N M ] ,   j [ 1 , N M ]  0 ( x i , y j ) 1
Δ ξ m u t = r a n d ( 1 t / i t e r ) 2
P ( k , m ) = P ( k , m ) ( 1 Δ ξ m u t )
P ( k , m ) = P ( k , m ) ( 1 + Δ ξ m u t )
χ o b j = Φ [ ( N u m p 1 ) r a n d + 1 ]
χ n o d e = Φ [ ( l e n g t h ( P ) 1 ) r a n d + 1 ]
L t = Φ ( [ s i z e ( P ) ( s i z e ( P ) 1 ) ] / 2 )
w ( t ) = w m a x + w m i n 2 + t a n h ( ε + 2 ε ( i t e r t ) i t e r ) w m a x w m i n 2
N d = P + [ 2 Φ ( 1 , s i z e ( P ) 1 ] w ( t )
U ~ 0 ( x 0 , y 0 ) = + U 0 ( α , β ) δ ( x 0 α , y 0 β ) d α d β
U ~ i ( x i , y i ) = 1 T 2 + U ~ 0 ( x 0 T , y 0 T ) h ~ ( x i x 0 , y i y 0 ) d x 0 d y 0
h ~ ( x i x 0 , y i y 0 ) = K ~ λ 2 d i 2 + P ( λ d i x , λ d i y ) e x p { j 2 π [ ( x i x 0 ) x + ( y i y 0 ) y ] } d x d y
h ~ ( x i x 0 , y i y 0 ) = K ~ λ 2 d i 2 δ ( x i x 0 , y i y 0 )
U ~ g ( x i , y i ) = K ~ λ 2 d i 2 T 2 U ~ 0 ( x i T , y i T )
U ~ i ( x i , y i ) = K ~ λ 2 d i 2 M 2 + U ~ 0 ( x 0 T , y 0 T ) h ~ ( x i x 0 , y i y 0 ) d x 0 d y 0 = + U ~ g ( x 0 , y 0 ) h ~ ( x i x 0 , y i y 0 ) d x 0 d y 0 = U ~ g ( x i , y i ) h ~ ( x i , y i )
F { U ~ i ( x i , y i ) } = F { U ~ g ( x i , y i ) h ~ ( x i , y i ) } = F { U ~ g ( x i , y i ) } F { h ~ ( x i , y i ) }
G ~ i ( f , g ) = G ~ g ( f , g ) H ~ ( f , g )
I ( x , y ) = | G ~ i ( f , g ) | 2 = | + M ( f , g ) H ( f + f , g + g ) e i 2 π ( x f + y g ) d f d g | 2
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.