Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Advanced phasemeter for deep phase modulation interferometry

Open Access Open Access

Abstract

We present the development of an advanced phasemeter for the deep phase modulation interferometry technique. This technique aims for precise length measurements with a high dynamic range using little optical hardware. The advanced phasemeter uses fast ADCs and an FPGA to implement a design of multiple single-bin Fourier transforms running at high sampling rates. Non-linear noise sources in the design were analyzed and suppressed. A null measurement with an optical beatnote signal using λ = 1064nm was conducted. It showed a sensitivity of 0.8μrad/Hz below 10Hz and 13.3μrad/Hz above, with a large dynamic range. The shown performance could enable the measuring of optical path lengths with sensitivities down to 0.14pm/Hz and 2.3pm/Hz, respectively, over several fringes in an interferometric setup.

© 2014 Optical Society of America

1. Introduction

Optical interferometry systems for high-precision metrology exist in a wide variety of techniques and applications. In this paper, we present advances on the phase measurement unit (phasemeter) of the deep phase modulation interferometry (DPMI) technique. DPMI can be classified as a special case of sinusoidal phase modulation (SPM) homodyne interferometry [1]. It aims for precise measurements of optical path length changes with high sensitivity over a large dynamic range (many fringes) while using a simple optical setup. In particular, these optical path length measurements can be applied for the continuous tracking of the displacement of free-floating test masses that act as interferometer end mirrors. This task is required, for example, in space-based gravitational wave detectors such as the Laser Interferometer Space Antenna (LISA) [2, 3]. In addition, a possible application is the tracking of test masses for other experiments and missions, in the context of satellite geodesy [4] for example. As DPMI is a special case of SPM interferometry, it could naturally be used to perform the tasks carried out by other implementations of SPM interferometry, like characterization of piezo actuators [5] or surface profiling [6, 7]. The conceptual difference to these methods is the use of a phase modulation with a high (“deep”) modulation index to produce a large number of harmonics of this modulation frequency in the beatnote signal. These harmonic amplitudes can then be processed by a fit algorithm to extract the measurement phase.

A comparable technique used for test mass tracking is the heterodyne method developed for LISA pathfinder [8]. It showed a sensitivity of better than 1.42pm/Hz in the frequency band from 3mHz to 1Hz in a displacement measurement using a laser wavelength λ = 1064nm [9]. An initial implementation of the DPMI technique was developed in [10]. While operating with only one optical phase modulator, the initial implementation of the DPMI reached a sensitivity in the order of 20pm/Hz for optical path length measurements with λ = 1064nm. In this work, steps towards further improvement of this sensitivity are presented. The initial experiment in the quoted work was conducted on a stable optical bench in vacuum using a frequency-stabilized laser, and it furthermore utilized corrections for distortions due to the transfer function of the photodetector and data acquisition. Therefore, this work concerns the next key component in the signal chain that shows potential to improve the overall performance: the phasemeter. Its new implementation is based on fast analog-digital converters (ADCs) connected to a field programmable gate array (FPGA), while its initial implementation used a standard PC with an ADC card. The new design’s details, pitfalls and performance will be discussed in detail throughout this paper.

2. Theory

As mentioned before, DPMI can be classified as SPM homodyne interferometry. Therefore, the signal at one output port of the interferometer can be described as:

VPD=A{1+ccos[mcos(2πfmodt+ψ)+φ]}
where A is a common scale factor, c is the interferometer contrast, m is the modulation depth, fmod = ωmod/2π is the modulation frequency, ψ is the modulation phase and φ is the interferometer phase, which is the main measurand. This expression can be decomposed by Fourier analysis:
VPD=n=0cneinωmodt
with the following complex Fourier coefficients:
c0=A+a0/2
cn=aneinψn1
with
an={ksin(φ)(1)n+12Jn(m)ifnisodd,kcos(φ)(1)n2Jn(m)ifniseven,
where A and c are merged to one single variable k = 2Ac. These complex Fourier coefficients are called harmonic amplitudes in the following. While other SPM interferometry techniques only use some of the first harmonic amplitudes, the DPMI technique exploits the fact that Eq. (4) forms an over-determined system of equations for four unknowns (k, m, φ, ψ). This can be solved by a numerical fit algorithm. The number of useful equations effectively depends on the modulation depth m. For a deeper modulation over several radian, the signal’s power is distributed into more and higher harmonic bins. Therefore, an experimental setup is required that is able to apply a high phase modulation and extract the harmonic amplitudes for subsequent processing by the numerical fit. Earlier theoretical analysis [10] investigated optimal values for m and the number of utilized bins, N. Accordingly, the number of bins was chosen to be N = 10. The modulation depths used were adapted slightly depending on which value led to the best figure of merit of the numerical fit in the specific simulation or measurement. They are stated separately for each case.

3. Initial and advanced phasemeter

The initial setup for the DPMI phasemeter [10] implemented the extraction of the harmonic amplitudes with a commercial ADC card (sampling rate fs = 20kHz, 16bit resolution) connected to a standard PC, on which a fast Fourier transform (FFT) was performed. Only the desired bins at the harmonic frequencies were selected and processed by a Levenberg-Marquardt fit to solve the associated system of equations. The phase modulation of the signal in one interferometer arm was carried out by a function generator driving a ring piezo. The ring piezo stretches a fiber which is coiled around it. This increases the optical path length and therefore introduces a phase shift. The modulation had a frequency of fmod = 280Hz and a depth of m ≈ 9.7. The frequency value was harmonically related to a FFT resolution of 20Hz, as the frequency itself and higher harmonics should lie in the bins of the FFT.

For this work, the hardware responsible for the generation of the modulation signal as well as the harmonic amplitude extraction was replaced. Both are now embedded in an FPGA receiving the beatnote signal from an FPGA Mezzanine card (FMC) ADC (fs = 40MHz, 12bit resolution). Additionally, a digital-analog converter (DAC) card is attached to the FPGA to drive the ring piezo. The FPGA contains a direct digital synthesis (DDS) unit for the generation of the modulation signal, a digital signal processing (DSP) unit for the harmonic amplitude extraction as well as infrastructural components like DAC/ADC interfaces and an Ethernet interface for the data link to a PC. With this hardware configuration, a total amount of eight separate phasemeter channels can be implemented and used for simultaneous readout of eight interferometers, limited by the eight input channels of the ADC card.

4. Advanced phasemeter design details

The task of the DSP unit is to extract the harmonic amplitudes. This is done by performing multiple single-bin Fourier transforms (SBFTs). Instead of calculating a complete FFT, only the bins with the frequency values of interest - which are the harmonics of fmod - are computed. To achieve this, two pipelines for every chosen bin are implemented, one for the real part and one for the imaginary part of the (complex) harmonic amplitude. Each pipeline consists of a mixer and a cascaded integrator comb (CIC) filter, which averages over several consecutive samples and is therefore sampling down the mixer signal with a rate R. The mixer is fed by the beatnote signal converted by the ADC as well as by a sinusoidal wave with the frequency of the bin to be extracted or demodulated. The latter one is generated in the DDS unit, which consists of N numerically controlled oscillators (NCOs). Figure 1 shows a sketch of the design for one bin. The mixer effectively converts down just the desired harmonic amplitude to DC.

 figure: Fig. 1

Fig. 1 Sketch of two pipelines performing the SBFT to calculate the harmonic amplitude’s real and imaginary part of one bin at the n-th harmonic frequency.

Download Full Size | PDF

All others harmonics are removed by the CIC filter, as illustrated in Fig. 2. It shows the photodetector signal and the transfer function of the filter. The frequency axis is scaled to multiples of the sampling frequency after downsampling, fs/R, which are the points of maximum filter suppression. R has to be set to a value that makes fmod an integer multiple of fs/R to filter out the undesired AC components. As mentioned earlier, the DDS furthermore transmits the fundamental frequency fmod to the DAC for driving the phase modulator. Figure 3 shows an overview over the whole digital design on the FPGA. The harmonic amplitudes sent to the PC are processed by a C program implementing the Levenberg-Marquardt fit. It can be classified as a least-square fit algorithm. Subsequently to the fit, a software CIC filter is applied to sample down φ and thus keep the amount of data sufficiently low.

 figure: Fig. 2

Fig. 2 Illustration of the DSP pipeline principle: after the desired harmonic amplitude is mixed down to DC, all other signal components are filtered out by the CIC filter, if its downsampling rate R is set correctly.

Download Full Size | PDF

 figure: Fig. 3

Fig. 3 Sketch of the digital system and its individual units. The green lines symbolize commands, black lines internal digital signals and blue lines digital signals connected to the analog domain.

Download Full Size | PDF

Improvements in comparison to the initial implementation are expected first of all due to the higher ADC sampling rate. This effectively reduces the level of white noise added by the signal quantization. The behavior was put into a formula [11], which can be altered to:

ASDnoise=LSB/6fs[V/Hz]
where ASDnoise denotes the amplitude spectral density of the white noise introduced by the ADC, LSB is the voltage quantization of the least significant bit of the ADC given in Volts and fs is the ADC sampling rate. It should be noted that this describes only the additive noise to the beatnote signal amplitude. The calculation of the associated phase noise - and thus the expected improvement - is rather complicated due to the behavior of the DSP system and the fit. It will be subject to future studies.

5. Non-linear noise sources

Before the design was implemented, investigations of different non-linear noise sources had been conducted. These were done by simulating MATLAB/Simulink models of the system. In addition to the DDS and DSP components presented, a model for the optical part, i.e. the interferometer, was developed by evaluating Eq. (1). This provides the possibility of generating a reference input signal for the measurement phase φin and comparing it to the output of the phasemeter φout. For this analysis, sinusoidal signals for φin were chosen to probe the design.

One of the critical elements turned out to be the fact that one has to take into account that the SBFT of the photodetector signal is computed in finite time windows, the lengths of which are controlled by the downsampling rate of the CIC filter. In case of constant values for the four parameters k, m, ψ and φ, this causes no problems. However, one has to consider that while k, m, ψ can actually be treated as constants in an ideal setup, φ changes in the experiment and is therefore time-dependent. A sinusoidal change of φ within the time window for example leads to sidebands besides the harmonic frequencies. While these sidebands carry the actual signal, the problem becomes visible when recalling the principle of the SBFT and in particular the CIC filter. The AC components remaining after mixing are supposed to be canceled out completely. That is not the case for the sidebands, which are then aliased and corrupt the desired signal. Figure 4 illustrates this behavior. The issue was mitigated by choosing a higher CIC filter order and therefore a better suppression of the unwanted sidebands. However, this method is limited in terms of hardware consumption and therefore a trade-off had to be made. As a second order filter proved sufficient in the simulations shown in the next section, it was chosen for the final implementation with which the measurements for this work were conducted. Nevertheless, a design with a higher CIC order or another filter might yield improvements for future measurements.

 figure: Fig. 4

Fig. 4 Illustration of the origin of distortions occurring through the dynamics of φ: the sidebands on all harmonics can’t be canceled out completely by the CIC filter and are aliased down to DC, disturbing the measurement of the desired harmonic amplitude in the specific pipeline.

Download Full Size | PDF

A second critical item to be taken care of were the NCOs. An NCO consists of a phase accumulator and a lookup table containing the period of a sine or cosine. The phase accumulator sums up a value called phase increment, which defines the NCO frequency, and drives the lookup table. The phase accumulator’s bitwidth is usually higher than the one of the lookup table input. Therefore, its signal has to be truncated, which generates spurious signals. Those were dealt with using a dither applied to the signal before truncation. For high frequencies and thus high phase increments, spurious signal due to amplitude truncation in the lookup table have to be considered too [12]. These can naturally be reduced by choosing higher amplitude resolutions. Furthermore, choosing optimized values for the frequency and the phase increment, respectively, will decrease the repetition rate of values in the phase accumulator signal. This in turn spreads the spurious signal over several spurs, decreasing their level and hence increasing the spurious free dynamic range of the NCOs. Additionally, higher modulation frequencies lead to a higher free dynamic range, as they require more bits in the phase increment. They are therefore desirable up to a point where the Nyquist theorem or the bandwidth of the used devices limit a further increment.

6. Simulations and measurements for performance analysis

With the knowledge gained about the non-linear noise sources mentioned above, a simulation to estimate the performance of the phasemeter design was carried out. A sinusoidal signal with an amplitude of 10rad at 2.5Hz for the input reference phase, φin = 10 cos(2π · 2.5Hz · t), was fed into the system model as the input reference. The high amplitude was chosen to verify a large dynamic range. A modulation frequency fmod ≈ 312.5kHz and a modulation depth m ≈ 9.7 were used. In order to compare the input reference with the algorithm output, the input additionally had to be sampled down with a CIC filter equal to the ones used in the bin pipelines. The results are shown in Fig. 5, the red line is the input φin, while the green one represents the difference of in- and output φinφout. The absolute phase noise at 2.5Hz is around 0.6μrad/Hz with a dynamic range of up to roughly seven orders of magnitude. Furthermore, the noise is flat and therefore shows no non-linear effects.

 figure: Fig. 5

Fig. 5 Phasemeter performance for an absolute estimation in a digital simulation. Red: input phase φin. Green: difference of input and output phase φinφout.

Download Full Size | PDF

The next step to get closer to the phasemeter performance in a real experiment was to migrate the design to an FPGA and analyze it in a purely electrical testbed, see Fig. 6. In this testbed, two signal generators locked to each other via a 10MHz reference are used. One signal generator’s phase modulation input is driven by the DDS unit of the phasemeter. Combining the two outputs with an electrical mixer synthesizes the beatnote signal. It is amplified, divided with a passive splitter and fed into two separate phasemeter channels to perform a null measurement. Ideally, a subtraction of the measured phases φ1φ2 should be zero. Computing the ASD of this difference provides an estimate of the phasemeter performance. Figure 7 is a plot of the results of the measurement. The red curve represents the phase of one single channel φ1, while the green one shows the null measurement. The blue curve is the phase noise equivalent of an optical path length noise of 20pm/Hz assuming λ = 1064nm. Additionally, a LISA-like noise shape function having a double zero at 3mHz was applied. The phase noise equivalent is a reference to the performance that was achieved in the initial setup. The conducted null measurement shows a white noise floor of 0.8μrad/Hz, visible at frequencies down to 0.1Hz. The rising shoulder towards lower frequencies is attributed to temperature fluctuations, as the measurement was conducted in a room without temperature control. Parameters used for this measurement were a modulation depth m ≈ 9.3 and a modulation frequency fmod = 20kHz, where the latter was limited by the DAC bandwidth. The achieved performance is in good accordance with the simulation. The slightly higher noise in the null measurement can be explained by the fact that two noise floors are added up, which increases the intrinsic noise of a single channel by a factor of 2.

 figure: Fig. 6

Fig. 6 Sketch of the electrical testbed for a null measurement.

Download Full Size | PDF

 figure: Fig. 7

Fig. 7 Null measurement in an electrical testbed. Red: single channel output φ1. Green: null measurement φ1φ2. Blue: 20pm/Hz equivalent phase noise reference (λ = 1064nm) with noise shape function.

Download Full Size | PDF

For the electrical measurement, the input signal’s dynamics of the single channel φ1 was relatively low. This is different when migrating to an optical setup. It was established in terms of a simple Mach-Zehnder interferometer (λ = 1064nm) assembled on an optical table on air, as shown in Fig. 8. In this setup, a ring piezo actuator is utilized for the phase modulation. The beatnote signal is acquired by a photodetector, split into two channels and passed to the ADC module which is attached to the FPGA. The DDS signal of the FPGA in turn is amplified by an external circuit and drives the ring piezo. With this setup and values of m ≈ 6.3 and fmod ≈ 20kHz, another null measurement was performed. Figure 9 presents the results. As in the previous plot, the red line shows the single channel phase φ1, the green one the null measurement φ1φ2 and the blue one the reference in terms of phase noise equivalent to 20pm/Hz. For frequencies above 10Hz, the phase noise converges to the white noise floor of 0.8μrad/Hz observable in the electrical measurement. This would correspond to 0.14pm/Hz optical path length noise. For frequencies below 1Hz, a shoulder at a level of 13.3μrad/Hz is visible. Similar artifacts were measured with the initial setup [10]. They were caused by a non-flat transfer function of the data acquisition system and the photodetector. This leads to unequal damping and phase shifts of the different complex harmonic amplitudes, which in turn creates errors in an not covered by the used model. It is assumed that this issue is also the reason for the shoulder visible here. Nevertheless, the measured phase noise would correspond to an optical path length noise of 2.3pm/Hz. Furthermore, a significantly higher dynamic range compared to the purely electrical setup is observable here. It reaches approximately up to seven orders of magnitude. This shows the possible applicability of DPMI for tracking over many fringes.

 figure: Fig. 8

Fig. 8 Schematic of the complete setup for the null measurement with optical signals.

Download Full Size | PDF

 figure: Fig. 9

Fig. 9 Null measurement with an optical signal. Red: single channel output φ1. Green: null measurement φ1φ2. Blue: 20pm/Hz equivalent phase noise reference (λ = 1064nm) with noise shape function.

Download Full Size | PDF

It should be noted, that the used null measurement cannot reveal nonlinearities that are common in both phasemeter channels. This would require a more sophisticated so-called three signal test, an analysis scheme that has already been developed for other phasemeters [13]. Three different measurement phases φa, φb and φc are combined to three new signals containing φ1 = φaφb, φ2 = φbφc and φ3 = φaφc. The latter ones are put into three phasemeter channels. Their output φ1 + φ2 + (−φ3) should in an ideal case be zero. As different signals are fed into the channels, nonlinearities that are common in the null measurement can be revealed this way. Despite the lack of a three signal test, high confidence is put in the current version of the phasemeter due to fact that the absolute digital simulation showed no nonlinearities.

7. Conclusions

In this paper, advances in the development of a phasemeter for DPMI were discussed. This technique comes with a simple optical setup, using only one phase modulator, here implemented by a ring piezo. The new phasemeter is using a multi-channel ADC (40MHz) attached to an FPGA implementing multiple SBFTs per channel for fast sampling and simultaneous processing of up to eight beatnote signals. The phasemeter performance in terms of phase noise was first estimated with a simulation of the digital design, which allowed an absolute comparison between an artificial input signal and the phasemeter output. A phase noise of 0.6μrad/Hz with a dynamic range of seven orders of magnitude was shown. Furthermore, a null measurement in an electrical testbed yielded a similar performance with a white noise floor of 0.8μrad/Hz, limited to lower frequencies by temperature effects. This measurement was repeated with an optical setup, i.e. performing a null measurement with an optical beatnote captured on a photodetector. It showed a phase noise of 13.3μrad/Hz for frequencies below 1Hz. For higher frequencies, a convergence towards the white noise floor measured with the electrical testbed was observed. This measured white noise floor - which was also achieved in the simulations with a dynamic range of up to seven orders of magnitude - would correspond to 0.14pm/Hz in an optical path length measurement (λ = 1064nm). At frequencies below 1Hz, the measured noise would correspond to 2.3pm/Hz with a dynamic range of also up to seven orders of magnitude. Comparisons to earlier experiments suggest that the white noise floor can also be reached for lower frequencies by transfer function corrections of the data acquisition line and the photodetector. This could enable high measurement precision with high bandwidth and a large dynamic range over several orders of magnitude and therefore over many beatnote fringes. In particular if assuming the phasemeter of earlier experiments as the major noise source, improvement of DPMI to even higher sensitivities is possible.

To actually show these sensitivities, future work will include further testing of the phasemeter, for example with more sophisticated methods like a three signal test, and putting it into an interferometric setup for an optical displacement measurement.

Acknowledgments

We gratefully acknowledge support by the Deutsches Zentrum für Luft- und Raumfahrt (DLR) (references 50 OQ 0501 and 50 OQ 0601).

References and links

1. O. Sasaki and K. Takahashi, “Sinusoidal phase modulating interferometer using optical fibers for displacement measurement,” Appl. Opt. 27(19), 4139–4142 (1988). [CrossRef]   [PubMed]  

2. K. Danzmann and A. Rüdiger, “LISA technology - concept, status, prospects,” Classical Quant. Grav. 20(10), 1–9 (2003). [CrossRef]  

3. S. Anza, M. Armano, E. Balaguer, M. Benedetti, C. Boatella, P. Bosetti, D. Bortoluzzi, N. Brandt, C. Braxmaier, M. Caldwell, L. Carbone, A. Cavalleri, A. Ciccolella, I. Cristofolini, M. Cruise, M. Da Lio, K. Danzmann, D. Desiderio, R. Dolesi, N. Dunbar, W. Fichter, C. Garcia, E. Garcia-Berro, A. Garcia, R. Gerndt, A. Gianolio, D. Giardini, R. Gruenagel, A. Hammesfahr, G. Heinzel, J. Hough, D. Hoyland, M. Hueller, O. Jennrich, U. Johann, S. Kemble, C. Killow, D. Kolbe, M. Landgraf, A. Lobo, V. Lorizzo, D. Mance, K. Middleton, F. Nappo, M. Nofrarias, G. Racca, J. Ramos, D. Robertson, M. Sallusti, M. Sandford, J. Sanjuan, P. Sarra, A. Selig, D. Shaul, D. Smart, M. Smit, L. Stagnaro, T. Sumner, C. Tirabassi, S. Tobin, S. Vitale, V. Wand, H. Ward, W. J. Weber, and P. Zweifel, “The LTP experiment on the LISA Pathfinder mission,” Classical Quant. Grav. 22(10), 125–138 (2005). [CrossRef]  

4. R. Pierce, J. Leitch, M. Stephens, P. Bender, and R. Nerem, “Intersatellite range monitoring using optical interferometry,” Appl. Opt. 47(27), 5007–5019 (2008). [CrossRef]   [PubMed]  

5. J. H. Galeti, P. L. Berton, C. Kitano, R. T. Higuti, R. C. Carbonari, and E. C. N. Silva, “Wide dynamic range homodyne interferometry method and its application for piezoactuator displacement measurements,” Appl. Opt. 52(28), 6919–6930 (2013). [CrossRef]   [PubMed]  

6. O. Sasaki and H. Okazaki, “Sinusoidal phase modulating interferometry for surface profile measurement,” Appl. Opt. 25(18), 3137–3140 (1986). [CrossRef]   [PubMed]  

7. O. Sasaki, H. Okazaki, and M. Sakai, “Sinusoidal phase modulating interferometer using the integrating-bucket method,” Appl. Opt. 26(6), 1089–1093 (1987). [CrossRef]   [PubMed]  

8. G. Heinzel, C. Braxmaier, M. E. Caldwell, K. Danzmann, F. Draaisma, A. Garcia, J. Hough, O. Jennrich, U. Johann, C. J. Killow, K. Middleton, M. te Plate, D. Robertson, A. Rüdiger, R. Schilling, F. Steier, V. Wand, and H. Ward, “Successful testing of the LISA technology package (LTP) interferometer engineering model,” Classical Quant. Grav. 22(10), 149–154 (2005). [CrossRef]  

9. M. Dehne, M. Tröbs, G. Heinzel, and K. Danzmann, “Verification of polarising optics for the LISA optical bench,” Opt. Express 20(25), 27273–27287 (2012). [CrossRef]   [PubMed]  

10. G. Heinzel, F. G. Cervantes, A. Garcia, J. Kullmann, W. Feng, and K. Danzmann, “Deep phase modulation interferometry,” Opt. Express 18(18), 19076–19086 (2010). [CrossRef]   [PubMed]  

11. S. P. Lipshitz, R. A. Wannamaker, and J. Vanderkooy., “Quantization and dither: A theoretical survey,” in Audio Engineering Society Convention, (Audio Engineering Society, 1991), pp. 3141.

12. T. Xinguang, L. Xin, C. Hong, and D. Miyi., “DDFS spurious signals due to amplitude quantization in absence of phase-accumulator truncation,” J. Syst. Eng. Electron. 20(3), 485–492 (2009).

13. D. Shaddock, B. Ware, P. G. Halverson, R. E. Spero, and B. Klipstein, “Overview of the LISA Phasemeter,” AIP Conf. Proc. 873(1), 654–660 (2006). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (9)

Fig. 1
Fig. 1 Sketch of two pipelines performing the SBFT to calculate the harmonic amplitude’s real and imaginary part of one bin at the n-th harmonic frequency.
Fig. 2
Fig. 2 Illustration of the DSP pipeline principle: after the desired harmonic amplitude is mixed down to DC, all other signal components are filtered out by the CIC filter, if its downsampling rate R is set correctly.
Fig. 3
Fig. 3 Sketch of the digital system and its individual units. The green lines symbolize commands, black lines internal digital signals and blue lines digital signals connected to the analog domain.
Fig. 4
Fig. 4 Illustration of the origin of distortions occurring through the dynamics of φ: the sidebands on all harmonics can’t be canceled out completely by the CIC filter and are aliased down to DC, disturbing the measurement of the desired harmonic amplitude in the specific pipeline.
Fig. 5
Fig. 5 Phasemeter performance for an absolute estimation in a digital simulation. Red: input phase φin. Green: difference of input and output phase φinφout.
Fig. 6
Fig. 6 Sketch of the electrical testbed for a null measurement.
Fig. 7
Fig. 7 Null measurement in an electrical testbed. Red: single channel output φ1. Green: null measurement φ1φ2. Blue: 20 pm / Hz equivalent phase noise reference (λ = 1064nm) with noise shape function.
Fig. 8
Fig. 8 Schematic of the complete setup for the null measurement with optical signals.
Fig. 9
Fig. 9 Null measurement with an optical signal. Red: single channel output φ1. Green: null measurement φ1φ2. Blue: 20 pm / Hz equivalent phase noise reference (λ = 1064nm) with noise shape function.

Equations (6)

Equations on this page are rendered with MathJax. Learn more.

V PD = A { 1 + c cos [ m cos ( 2 π f mod t + ψ ) + φ ] }
V PD = n = 0 c n e i n ω mod t
c 0 = A + a 0 / 2
c n = a n e i n ψ n 1
a n = { k sin ( φ ) ( 1 ) n + 1 2 J n ( m ) if n is odd , k cos ( φ ) ( 1 ) n 2 J n ( m ) if n is even ,
ASD noise = LSB / 6 f s [ V / Hz ]
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.