Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Fast resist-activation dosimetry for extreme ultra-violet lithography

Open Access Open Access

Abstract

Due to the rather broad band emission spectrum of the extremely hot plasma in its extreme ultra-violet (EUV) source, an EUV lithography scanner also projects out-of-band vacuum- and deep-UV (OoB V/DUV) light on the photoresist on a wafer. As this type of uncontrolled and undesirable light can activate resist chemistry, it will impair the critical dimension uniformity of the patterns, especially across the borders of the fields. Hence, OoB V/DUV quantification technology is required in the pre-production phase. For this reason, the systematic characterization of the EUV-source emission spectrum and the spatial profile of the light as projected on the wafer is indispensable to sustain stable integrated circuit production with EUV lithography. This paper introduces an in-band EUV and OoB V/DUV dosimetry method that is based on enhanced energy sensitivity by resist contrast (EESRC). This dosimetry method is applied in an EUV lithography tool to quantitatively analyze the spatial distribution the resist activation by in-band EUV and OoB V/DUV light, under several exposure conditions. This pragmatic approach can replace the current best-practice of measuring the full spectrum of an EUV light source.

© 2017 Optical Society of America

1. Introduction

Extreme ultra-violet (EUV) lithography using 13.5 nm wavelength extends photolithography and is a cost-effective method of producing sub-20 nm patterned integrated circuits. Although some infrastructure challenges still remain, EUV technology is expected to begin high volume microchip production within a few years. In recent years, the most critical issue for the EUV lithography is considered to be the realization of a stable high-power EUV source. Although the power of EUV sources have improved drastically, several critical concerns related to the EUV source still remain [1]. The most powerful EUV sources are based on an extremely hot tin-plasma, that, besides in-band (IB) EUV radiation, also emits significant amounts of out-of-band (OoB) radiation at longer wavelengths, ranging from vacuum and deep ultraviolet (V/DUV) into the infrared. Since EUV radiation is strongly absorbed by all materials, only reflective optics, i.e. mirrors that are coated by special multi-layer (ML) coating, are used for the EUV reticle as well as the EUV illumination and projection optics in the scanner. Although the ML coating is optimized for maximal reflection of EUV, it also reflects a significant fraction of the OoB V/DUV radiation. Hence, in addition to the in-band EUV light that is required for sub-20nm patterning, the reflective optics of the EUV scanner also projects the disturbing OoB V/DUV radiation on the wafer. Unfortunately, the OoB radiation can contribute significantly to resist activation [2]. Furthermore, some of the radiation from the reticle border is additionally projected onto the border of neighboring fields. This border effect causes an undesired critical dimension uniformity (CDU) jump in the pattern critical dimensions (CD) at edges and corners of the image fields (see Fig. 1), thereby impairing the pattern fidelity. In order to analyze how much in-band EUV and OoB V/DUV is incident to the wafer in the EUV lithography tool, several methods are proposed [3, 4]. Unfortunately, neither of these methods is sufficiently adequate to analyze the effect of IB-EUV or OoB dose on the spatial distribution of the resist activation on the wafer. Recently, the energy enhanced sensitivity by resist contrast (EESRC) method was introduced for dosimetry at wafer-level [5, 6]. EESRC was shown to qualitatively characterize resist activation by both IB EUV and OoB V/DUV dose performance with medium-high spatial resolution, and high throughput. In this paper, we will explain how the in-band EUV and OoB V/DUV at the wafer-level can be quantified using the EESRC method and verify the effect of some conventional OoB V/DUV suppression technologies.

 figure: Fig. 1

Fig. 1 Schematic intensity distribution on the wafer after scanning a reticle over the arc-shaped expose beam slit: (a) for a single image field exposure and (b) when all neighboring fields are exposed. The blue arc in (a) represents the slit. The thick arrows in (a) indicate the Scan and Slit direction.

Download Full Size | PDF

2. Materials and methods

In this section, we outline how a resist activation (RA) map can be made from the data as provided by the EESRC method. To make the method quantitative, a model is introduced for the optical thin film measurements. This section ends with a framework in which the resist activation concept can be used to assess the spatial intensity uniformity of not only in-band EUV but also of the OoB V/DUV as irradiated on the wafer by the EUV scanner: dosimetry through resist activation metrology with the EESRC method.

2.1 Experimental

The Enhanced-Energy-Sensitivity-by-Resist-Contrast (EESRC) method, introduced in our previous work [5, 6], deploys a simple macro inspection tool to measure the color of a photoresist layer, with the benefit of its high speed and high spatial resolution as required for conventional process variation monitoring [7–9]. This fast optical macro-inspection apparatus records a color image from a wafer with developed resist, which can be used to locally measure the film thickness. As in our earlier paper, the resist-coated wafer has been exposed to EUV and OoB V/DUV in an ASML NXE:3300B scanner using an un-patterned EUV reticle without an absorber layer. During the exposure, Photo-Acid Generator molecules are activated by the incident light, thereby releasing acid in the chemically amplified photoresist and thus creating a latent image. During the post-expose bake, this amount of acid is chemically amplified, transforming the latent image into the image of resist activation (RA) by the expose beam. It is prominently the activated resist that is soluble into the developer. As a result, the remaining thickness of photoresist after the development process has a direct relation with the expose dose, see e.g. the dose-response of a typical EUV chemically amplified photoresist in Fig. 2 of [6]. This resist thickness and its variability are directly related to the amount of activated resist by the expose beam.

 figure: Fig. 2

Fig. 2 Simulated color response for the EESRC method applied to a single layer of PMMA with a varying layer thickness from 0 to 600 nm on a Si wafer.

Download Full Size | PDF

It is noteworthy to recognize that the most prominent changes in the resist thickness occur at dose values just below the dose-to-clear, as shown in Fig. 2 of [6]. Clearly, the sensitivity of the EESRC method is optimal at an EUV dose E50 that yields a resist activation of RA = 50% [10]. Hence, the EESRC working point is at a dose E50 that results in a resist activation of RA = 50%. The EESRC method for RA dosimetry is quite linear between 20% < RA < 80%. For the EESRC results presented in this paper, the EUV dose E50 = 4.4 mJ cm−2. In practice, one can estimate a full-wafer dose-uniformity map by measuring the post-development photoresist thickness with the EESRC method.

2.2 Optical model for the EESRC method

The color analysis of the thin film stack under white light illumination can be used as a quick qualitative analysis for a multilayer system [7]. In our work a simple optical model has been developed that allows for variation of illumination conditions, resist stack and detector performance. First the reflectance R(λ) is calculated based on the transfer-matrix method for a multilayer stack [11] for every wavelength. The appearing color of the wafer is highly determined by the light source and the refractive index of the resist layer(s). Every light source has its spectral power distribution (SPD) profile. The spectral radiance (L) of the reflected light that reaches the camera can be calculated as the multiplication of the reflectance of the stack and the SPD of the light source. To simulate the common white light source, a series of standard illuminants have been published by the international commission on illumination (CIE). Its series of illuminants are constructed to represent natural daylight [12, 13]. The color perception can be simulated using the CIE color space and the tri-stimulus values (X, Y, Z) for a color can be calculated by multiplying L by each of three color matching functions, for instance, the CIE’s color matching function x¯(λ), y¯(λ), z¯(λ) for the CIE 1931 standard observer, as shown in Eq. (1). The tri-stimulus values (X, Y, Z) are easily converted to RGB values [14].

X=K380780S(λ)x¯(λ)R(λ)dλ,Y=K380780S(λ)y¯(λ)R(λ)dλ,Z=K380780S(λ)z¯(λ)R(λ)dλ,K=100380780S(λ)y¯(λ)R(λ)dλ.
In section 3.1, the model is applied to verify the EESRC method.

2.3 Dosimetry based on resist activation

In EUV lithography it is important to provide a uniform dose to the wafer, aiming for a uniform resist activation over the full wafer. To verify dose uniformity several dosimetry techniques are used [2, 3]. However, separating the individual contributions to the total resist activation by IB EUV and OoB V/DUV is not a trivial task. In this subsection we outline a method to quantify the amount of resist activation from IB EUV as well as from the OoB V/DUV, using the resist activation map as provided by the EESRC method.

In an EUV scanner, a full 300 mm wafer is exposed by the sequential scanning of a large number of image fields. Figure 1(a) schematically shows the spatial distribution of the resist activation RA(x,y) when a single field is exposed on the wafer using an EUV mask blank. The slit direction as well as the scan direction of the arc-shaped EUV expose beam is indicated by the arrows. In the central field (zone 1) the expose beam is well controlled by the slit and scan uniformity controller, yielding a relatively uniform resist activation map. However, at the edges of the image field (zones 2, 3 and 4), unwanted stray light caused by, for instance, the border effect and/or by reflections from the reticle mask blades, reduce the resist activation uniformity (RAU). Also the subsequent exposure of neighboring image fields (see Fig. 1(b)) impair the RAU in zones 2-4.

Rather pragmatically, we express the resist activation (RATotal) in zone 1 and zone 2 by the in-band EUV and the OoB V/DUV by multiplying the light intensities IEUV and IDUV with the effective resist sensitivity SEUV and SDUV, as formalized by Eq. (2).

RAZone1Total(x,y)=SEUV  IEUV(x,y)+ SDUV iIDUVi(x,y),
RAZone2Total(x,y)=SEUV  IEUVBB(x,y)+ SDUV iIDUViBB(x,y),
where the index i runs over the number of neighboring image fields.

Figure 1(b) illustrates the intensity distribution when the neighboring fields are exposed. The total resist activation in zone 3 is caused by the summation of the light intensity exposed on the image field itself and the intensity of the stray light from the neighboring field border effect. Similarly, the corners of the central image field, i.e. the zones 4, are three times exposed by the neighboring fields. In summary, the resist activation in zones 3 and 4, RAZone3 respectively RAZone4 can be expressed as the simple summation of RAZone1 and RAZone2.

RAZone3=RAZone1+RAZone2,
RAZone4=RAZone1+3RAZone2.

With the EESRC method, the RA in each zone (RAZonei) can be measured. Furthermore, the in-band EUV intensity IEUV can be measured by the EUV dose sensor at the wafer stage. This EUV dose value is used in relation to the resist activation in the center of zone 1 (RAZone1) where the effect of EUV stray light and OoB V/DUV is minimal. Furthermore, this measured EUV dose value is also used for the X-axis in Fig. 4 below. The resist activation from the OoB V/DUV (iIDUVi) can be easily calculated in all zones, using Eq. (2) and (3).

In paragraph 3.3 this concept is applied to quantify the RAU using experimental data from exposures with a classic EUV mask blank, an EUV black border mask blank and a resist layer stack with an Out-of-Band Protective Layer (OBPL) as top coat.

3. Results

3.1 Experimental analysis of resist activation uniformity in an EUV tool

The color response of the thin film used in the EESRC method can be easily simulated with the simple optical model as introduced in paragraph 2.2. For example, Fig. 2 displays the color variation of a Si wafer with a single resist layer of Microchem 495 PMMA [15], for a film thickness of 0 up to 600 nm, using bright field inspection with a CIE standard observer 1931 color space and illuminated with a CIE standard D65 un-polarized illuminant under 45° angle.

Figure 3 illustrates the intensity in the red, green and blue color channel of the camera in the EESRC tool as a function of the resist film thickness. Among the three color channels RGB, the blue color is the most sensitive to the thickness change. The blue color value corresponds uniquely to a specific film thickness value of up to 80 nm total film thickness. It means that the blue color channel can be utilized as a measure of thickness variation up to a practical upper limit of 80 nm resist film thickness.

 figure: Fig. 3

Fig. 3 Left panel: graph of RGB color values response, corresponding to Fig. 2. Right panel: illustration of the effect of an additional under-layer between the wafer and PMMA film.

Download Full Size | PDF

Figure 4 shows that the simulated color response by the optical model for the EESRC method is highly similar to the experimental color response. Any remaining difference between two spectral graphs may e.g. root from the inaccuracy in determining exact optical parameters for the resist film stack, or for deviations of the illumination light and/or the camera attributes in the actual EESRC set-up, relative to the CEI standards as used in the model. Hence, the optical simulation model confirms the validity of the EESRC method for resist-activation dosimetry in EUV lithography. Furthermore, the optical model can be helpful in the design optimization of future EESRC tools.

 figure: Fig. 4

Fig. 4 Top panel: resist thickness (blue dots) and the corresponding amount of resist activation (orange dots) as a function of EUV dose. Bottom panel: Experimental and simulated EESRC color-to-EUV-dose response for a uniform resist layer on a flat Si wafer. The bright bands between the experimental fields show the border effects that occur due to the exposure of the neighboring fields.

Download Full Size | PDF

As an example of the application of the EESRC method, we have quantified the RA intensity of each zone of the imaging field as defined in Fig. 1. Figure 5 shows the experimental in-field RA maps for (a) an isolated single field and (b) the average RA after all fields are exposed on a wafer. The measured RA uniformity for the single field exposure as shown in Fig. 5(a) is RAU = 0.9% (3sigma).

 figure: Fig. 5

Fig. 5 Experimental in-field RA map for (a) single field exposure without border effects, and (b) for a full wafer exposure, clearly demonstrating border effects in zones 2, 3 and 4.

Download Full Size | PDF

Figure 6 shows cross-sectional profiles through scan and slit direction of the RA-map in Fig. 5(b). Figure 6 clearly shows that the RA at all field edges and corners is raised more than a standard deviation of the in-field RAU. From these profiles, the width of zones 2 and 3 is estimated at 0.4 mm. The amount and range of the contribution to resist activation non-uniformity by the border effect can thus be quantified for the four zones in the in-field dose map as introduced in Fig. 1. The measured RA in each zone is summarized in Table 1.

 figure: Fig. 6

Fig. 6 Uniformity of the resist activation, as measured at a nominal EUV dose of 4.4 mJ cm−2 (a) in the slit direction and (b) in the scan direction. Panel (b) shows significantly lower spatial RA variation, due to the smoothing effect of the continuous scan of the slit over the field.

Download Full Size | PDF

Tables Icon

Table 1. RA per image field zone after a full-wafer exposure, as measured using the EESRC method

3.2 Analysis of resist activation by stray light from reticle mask blades

The reticle mask (REMA) blades in an EUV scanner are used to block the expose beam from reticle parts that should not be exposed on the wafer. Such parts are, for example, marker patterns for mask-to-scanner alignment in the scribe lane around the field with the customer pattern. In order to quantify the effect on RA by EUV and OoB stray light from REMA blades we reduced the size of the image field with the REMA blades, which has the additional benefit to suppress the border effects. Figure 7(a) displays a resist activation wafer map after exposure of a blank mask with different positions of the blades, demonstrating the effect of stray light scattered from the blades. Figure 7(b) shows how the RA increases near the edge of the field due to REMA blade stray light. Table 2 reports the range and amount of RA due the REMA blade stray light for each image field size of Fig. 7(a). The reported RA values are somewhat smaller than the RA at the edge of each full field, since the stray light dose is distributed over a relatively wide range (typically >5 mm from the field boundary).

 figure: Fig. 7

Fig. 7 Experimental analysis of the REMA stray light (a) full-wafer dose map by the EESRC method, showing the test expose pattern (b) cross-section of the RA map in the slit direction, showing significant REMA stray light at the image field edges.

Download Full Size | PDF

Tables Icon

Table 2. RA due to REMA stray light, as measured using the EESRC method

3.3 Verification of OoB V/DUV suppression efficacy

In this section we quantify the efficacy of two technologies for OoB V/DUV suppression that are currently in development for EUV lithography: the first uses an OBPL protective coating and the second uses a black border as introduced by Fukigami et al. [16], including several proprietary additional modifications to the optical properties of the black border.

At wafer-level, the most common technology to suppress resist activation by OoB V/DUV is to spin-coat an OoB V/DUV protection layer (OBPL) on top of the photoresist. This OBPL effectively absorbs OoB V/DUV, while at the same time it is transmitting a high fraction of EUV and furthermore reduces resist outgassing. The OBPL does not mix with the photoresist during the spin coating process and it can be easily removed during the development process [17]. For the experimental evaluation of the efficacy of the OBPL, a reference as well as an OPBL-coated wafer have been exposed to EUV and were subsequently processed under identical conditions. To arrive at a wafer map of the suppressed RA intensity, the RA map of the OBPL-coated wafer was subtracted from the RA map of the reference wafer, as shown in the top panel of Fig. 8. The RA reduction by the OBPL in the four image field zones is summarized in Table 3. The transmittance of the OBPL for EUV is high (TEUV ≅ 90%). However, the experimentally found RA suppression (ΔRA) in field zone 1 is only ΔRA1 = −2.0%, which corresponds to a relative EUV dose reduction of −0.5%. This EUV dose reduction is significantly less than the absorbed fraction of EUV, which is estimated as slightly less than 10%. We conclude that, since all OoB V/DUV radiation is completely absorbed in the OBPL, a secondary effect must exist that activates the resist. For instance, the unexpectedly low efficacy of the OPBL in suppressing the RA by OoB V/DUV may be attributed to V/DUV-induced secondary electrons that, after their creation in the OBPL, diffuse into the underlying photoresist, where they (rather unexpectedly) still can contribute to resist activation.

 figure: Fig. 8

Fig. 8 Full-wafer RA maps as obtained with the EESRC method, showing the efficacy of an OBPL (top panel) and of multi-layer etching on the black border (bottom panel).

Download Full Size | PDF

Tables Icon

Table 3. RA uniformity improvement (ΔRA) per image field zone, as measured using the EESRC method, thus quantifying the efficacy of the OBPL and the BB mask OoB V/DUV suppression techniques.

Now we turn to the quantification of the suppression effect of a black-border (BB) EUV reticle where the EUV-reflecting multi-layer at the border area was removed by etching [16]. Due to the lack of the multi-layer any incident EUV on the black border is absorbed, rather than being reflected as EUV stray light [16, 18]. The field-average EUV reflectance of the BB EUV etched reticle was found to be higher than that of the reference EUV reticle. Therefore, a normalized EESRC RA intensity map is shown in the bottom panel of Fig. 8 for both the reference and the BB EUV reticle. The RA in zone 3 is lowered by ΔRA3 = −4.4% indicating that the amount of reflective EUV light from an EUV mask with a classic border is as high as 4.4%. The RA suppression for both IB and OoB V/DUV suppression methods in each field zone is summarized in Table 3.

As a final EESRC application example, further research is introduced to mitigate the in-band EUV and the OoB V/DUV as reflected from the black border of the reticle [16, 19]. Specific gratings have been added to the BB area to mitigate reflection of OoB V/DUV from this area. Figure 9 displays RA field maps that show OoB suppression efficacy for various grating designs. Although details on the grating lay-out are proprietary, the comparison of their respective RA maps using the EESRC method enables the evaluation of their RAU improvement.

 figure: Fig. 9

Fig. 9 EESRC RA field dose maps for five different black border grating design schemes. The reference map (RA = 50%) is obtained using a conventional black border EUV mask with no grating.

Download Full Size | PDF

In summary, the EESRC method has shown that for OoB V/DUV suppression the BB mask method has the benefits of not reducing RA in zone 1, while suppressing excess RA in zones 2, 3 and 4 is suppressed more effectively, as compared to the OBPL method.

4. Conclusion

In this paper an optical metrology method, the Enhanced Energy Sensitivity by Resist Contrast (EESRC) method, has been introduced for full-field EUV and OoB V/DUV dosimetry in EUV lithography. The method provides photoresist-activation maps for developed resist wafers at up to in-line throughput. The method uses a macro inspection image to measure the remaining resist thickness after development. Full-wafer images with sub-mm spatial resolution can be captured within a minute.

Furthermore, an optical model has been developed to support the EESRC method by predicting the reflected color from a resist layer stack. The model predictions for the color response to the change of resist thickness correlates well with experimental results. The model shows that for thin resist films each film thickness corresponds to a unique RGB color value. This analytical model is very effective in analyzing the resist activation maps as provided by EESRC dosimetry and in optimizing the optics design for the next generation EESRC tool.

The method is based on the simple principle that around a photoresist’s critical dose its thickness becomes extremely sensitive to variations in the applied EUV and OoB V/DUV dose on the wafer. Therefore the sensitivity of the method is sufficient to resolve absolute EUV and OoB V/DUV dose-on-wafer variations and in-field dose variations of less than dRA = 0.1%. In addition to a quantitative assessment of the dose stability of an EUV scanner-and-resist system, the method also provides for the verification of OoB V/DUV light suppression techniques. This has been demonstrated by two examples; 1) the addition of an OoB V/DUV protection layer (OBPL) on top of the resist, and 2) the addition of a black border to EUV reticle blanks. Through the experimental verification, EUV reflectivity of EUV blank reticle is found to be an important parameter to control the incident light on the wafer.

In summary, this paper outlines an experimentally verified method that enables a sufficiently accurate and fast assessment of the effect of in-band EUV as well as out-of-band V/DUV on a photoresist. Furthermore, the method supports a swift quantification of the effect of changes to e.g. EUV mask blank design or a spectral purity filter layer on top of the resist on the dose uniformity over a full wafer under EUV (pre-)production conditions. A second application of the method is to monitor the stability of the relevant part of the EUV light source output spectrum and the reflectivity of EUV scanner elements like projection optics, reticle mask blades and the mask. Hence, it is to be expected that the EESRC method can accelerate the introduction of EUV in IC production by providing fast and accurate full-field resist activation dosimetry.

Funding

Samsung Electronics SRD, including Dr. Heo’s Visiting Scholarship to TNO.

Acknowledgments

The authors would like to thank the members of the Samsung EUV team for their support during the experiments and the members of TNO nano-instrumentation and optics departments for fruitful discussions and, in particular, Peter Verhoeff (TNO) for excellent project management. We also acknowledge Samsung Photomask for their support in fabrication of the ML blank mask and measurement of the developed resist wafer reflectivity for both in-band EUV and out of band V/DUV.

References

1. A. Pirati, R. Peeters, D. Smith, S. Lok, M. van Noordenburg, R. van Es, E. Verhoeven, H. Meijer, A. Minnaert, J.-W. van der Horst, H. Meiling, J. Mallmann, C. Wagner, J. Stoeldraijer, G. Fisser, J. Finders, C. Zoldesi, U. Stamm, H. Boom, D. Brandt, D. Brown, I. Fomenkov, and M. Purvis, “EUV lithography performance for manufacturing: status and outlook,” Proc. SPIE 9776, 97760A (2016). [CrossRef]  

2. G. F. Lorusso, T. Matsumiya, J. Iwashita, T. Hirayama, and E. Hendrickx, “Deep ultraviolet out-of-band characterization of EUVL scanners and resists,” Proc. SPIE 8679, 86792V (2013). [CrossRef]  

3. L. K. Nanver, L. Qi, V. Mohammadi, K. R. M. Mok, W. B. d. Boer, N. Golshani, A. Sammak, T. L. M. Scholtes, A. Gottwald, U. Kroth, and F. Scholze, “Robust UV/VUV/EUV PureB Photodiode Detector Technology With High CMOS Compatibility,” IEEE J Sel. Top. Quantum Electron. 20(6), 3801711 (2014).

4. E. Hendrickx, R. Gronheid, J. Hermans, G. Lorusso, P. Foubert, I. Pollentier, A.-M. Goethals, R. Jonckheere, G. Vandenberghe, and K. Ronse, “Readiness of EUV Lithography for Insertion into Manufacturing: The IMEC EUV Program,” J. Photopolym. Sci. Technol. 26(5), 587–593 (2013). [CrossRef]  

5. J.-s. Heo, S.-H. Oh, and J.-h. Yeo, “Photolithography method including technique of determining distribution of energy of exposure light passing through slit of exposure apparatus”, Patent US8492058 B2, (2013).

6. I. Kim, J. Heo, C. Park, M. Hwang, S.-S. Kim, and J. W. Hahn, “Dose performance characterization of extreme ultraviolet exposure system using enhanced energy sensitivity by resist contrast method,” J. Vac. Sci. Technol. B 34(4), 041602 (2016). [CrossRef]  

7. J. Heo, J. Park, J. Yeo, S. Choi, and W. Han, “Fast mask CD uniformity measurement using zero order diffraction from memory array pattern,” Proc. SPIE 7272, 72721S (2009). [CrossRef]  

8. J. Heo, J.-H. Yeo, and Y. Kim, “Highly sensitive and fast scanner focus monitoring method using forbidden pitch pattern,” J. Micro/Nanolith. MEMS MOEMS. 10(4), 043011 (2011). [CrossRef]  

9. J. Heo, J. Yeo, and Y. Kim, “Novel focus monitoring using diffraction image of forbidden pitch patterns,” Microelectron. Eng. 98, 595–598 (2012). [CrossRef]  

10. V. Marriott, “High resolution positive resist developers: a technique for functional evaluation and process optimization,” Proc. SPIE 0394, 8 (1983).

11. M. Born and E. Wolf, Principles of Optics (Cambridge University Press, 2005).

12. D. B. Judd, D. L. MacAdam, G. Wyszecki, H. W. Budde, H. R. Condit, S. T. Henderson, and J. L. Simonds, “Spectral Distribution of Typical Daylight as a Function of Correlated Color Temperature,” J. Opt. Soc. Am. 54(8), 1031–1040 (1964). [CrossRef]  

13. “Colorimetry Publication 15:2004 (3rd Ed.),” CIE Technical Report (2004).

14. N. Ohta and A. R. Robertson, Colorimetry: Fundamentals and Applications (John Wiley & Sons Ltd., 2005).

15. Microchem PMMA Datasheet (2001).

16. N. Fukugami, K. Matsui, G. Watanabe, T. Isogawa, S. Kondo, Y. Kodera, Y. Sakata, S. Akima, J. Kotani, H. Morimoto, and T. Tanaka, “Black border with etched multilayer on EUV mask,” Proc. SPIE 8441, 84411K (2012). [CrossRef]  

17. R. Sakamoto, N. Fujitani, R. Onishi, and T. Nishita, “The Novel Solution for Negative Impact on Out-of-band and Outgassing by Top Coat Materials (OBPL) in EUVL,” J. Photopolym. Sci. Technol. 26(5), 685–689 (2013). [CrossRef]  

18. N. Davydova, E. van Setten, R. de Kruif, B. Connolly, N. Fukugami, Y. Kodera, H. Morimoto, Y. Sakata, J. Kotani, S. Kondo, T. Imoto, H. Rolff, A. Ullrich, R. K. Jaganatharaja, A. Lammers, D. Oorschot, C.-W. Man, G. Schiffelers, and J. van Dijk, “Black border, mask 3D effects: covering challenges of EUV mask architecture for 22 nm node and beyond,” Proc. SPIE 9231, 923102 (2014). [CrossRef]  

19. Q. Huang, M. de Boer, J. Barreaux, D. M. Paardekooper, T. van den Boogaard, R. van de Kruijs, E. Zoethout, E. Louis, and F. Bijkerk, “Spectral purity enhancement for the EUV lithography systems by suppressing UV reflection from multilayers,” Proc. SPIE 9048, 90480G (2014). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (9)

Fig. 1
Fig. 1 Schematic intensity distribution on the wafer after scanning a reticle over the arc-shaped expose beam slit: (a) for a single image field exposure and (b) when all neighboring fields are exposed. The blue arc in (a) represents the slit. The thick arrows in (a) indicate the Scan and Slit direction.
Fig. 2
Fig. 2 Simulated color response for the EESRC method applied to a single layer of PMMA with a varying layer thickness from 0 to 600 nm on a Si wafer.
Fig. 3
Fig. 3 Left panel: graph of RGB color values response, corresponding to Fig. 2. Right panel: illustration of the effect of an additional under-layer between the wafer and PMMA film.
Fig. 4
Fig. 4 Top panel: resist thickness (blue dots) and the corresponding amount of resist activation (orange dots) as a function of EUV dose. Bottom panel: Experimental and simulated EESRC color-to-EUV-dose response for a uniform resist layer on a flat Si wafer. The bright bands between the experimental fields show the border effects that occur due to the exposure of the neighboring fields.
Fig. 5
Fig. 5 Experimental in-field RA map for (a) single field exposure without border effects, and (b) for a full wafer exposure, clearly demonstrating border effects in zones 2, 3 and 4.
Fig. 6
Fig. 6 Uniformity of the resist activation, as measured at a nominal EUV dose of 4.4 mJ cm−2 (a) in the slit direction and (b) in the scan direction. Panel (b) shows significantly lower spatial RA variation, due to the smoothing effect of the continuous scan of the slit over the field.
Fig. 7
Fig. 7 Experimental analysis of the REMA stray light (a) full-wafer dose map by the EESRC method, showing the test expose pattern (b) cross-section of the RA map in the slit direction, showing significant REMA stray light at the image field edges.
Fig. 8
Fig. 8 Full-wafer RA maps as obtained with the EESRC method, showing the efficacy of an OBPL (top panel) and of multi-layer etching on the black border (bottom panel).
Fig. 9
Fig. 9 EESRC RA field dose maps for five different black border grating design schemes. The reference map (RA = 50%) is obtained using a conventional black border EUV mask with no grating.

Tables (3)

Tables Icon

Table 1 RA per image field zone after a full-wafer exposure, as measured using the EESRC method

Tables Icon

Table 2 RA due to REMA stray light, as measured using the EESRC method

Tables Icon

Table 3 RA uniformity improvement (ΔRA) per image field zone, as measured using the EESRC method, thus quantifying the efficacy of the OBPL and the BB mask OoB V/DUV suppression techniques.

Equations (5)

Equations on this page are rendered with MathJax. Learn more.

X=K 380 780 S(λ) x ¯ (λ)R(λ)dλ, Y=K 380 780 S(λ) y ¯ (λ)R(λ)dλ, Z=K 380 780 S(λ) z ¯ (λ)R(λ)dλ, K= 100 380 780 S(λ) y ¯ (λ)R(λ)dλ .
R A Zone1 Total ( x,y )= S EUV    I EUV ( x,y )+  S DUV   i I DUVi ( x,y ),
R A Zone2 Total ( x,y )= S EUV    I EU V BB ( x,y )+  S DUV   i I DUV i BB ( x,y ),
R A Zone3 =R A Zone1 +R A Zone2 ,
R A Zone4 =R A Zone1 +3R A Zone2 .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.