Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Room-temperature electrically-pumped 1.5 μm InGaAs/InAlGaAs laser monolithically grown on on-axis (001) Si

Open Access Open Access

Abstract

Hetero-epitaxial growth of high quality InP on a complementary metal-oxide-semiconductor (CMOS)-compatible Si platform is compelling for monolithic integration of optoelectronics. It will provide the combined strength of mainstream mature InP-based photonic integrated circuits (PIC) technologies and large-volume, low-cost silicon-based manufacturing foundries. Direct monolithic integration of InP-based laser diodes (LDs) on silicon helps fully exploit the potential of silicon photonics and benefits the application of dense wavelength division multiplexing (DWDM) for telecommunications. Here, we report the first InGaAs/InAlGaAs multi-quantum-well (MQW) lasers directly grown on on-axis V-grooved (001) Si by metalorganic chemical vapor deposition (MOCVD). Lasing near 1.5 μm was achieved for the first time with a threshold current density Jth = 3.3 kA/cm2 under pulsed current injection at room temperature. A high characteristic temperature T0 of 133 K in the range of 20°C–40°C was measured. These results demonstrate the potential of adopting this large-area InP-on-Si substrate for integrating diverse III-V laser diodes, photodetectors, and high-frequency and high-speed transistors.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

To enable fully monolithic integration of silicon-based photonic and electronic circuits, extensive research has recently been spawned in developing efficient electrically pumped III-V light sources on silicon with a high volume and CMOS-compatible process. In this regard, direct epitaxy provides an attractive alternative to bonding now being commercialized [1]. To alleviate the negative effects of high-density of defects generated at III-V/Si interface on the laser performance, several defect reduction methods have been used including aspect ratio trapping (ART) [2], incorporating intermediate buffers such as Ge [3] or GaP [4-5], as well as inserting dislocation filtering layers like strained layer superlattices (SLSs) [6] and self-assembled quantum dots [7-8]. 4-6° offcut Si substrates have also been widely adopted to suppress the antiphase boundaries (APBs) [9-10]. Yet, off-cut substrates are not readily compatible with standard CMOS fabrication, where nominal (001) silicon are used. Utilizing these schemes, GaAs-based lasers directly grown on on-axis (001) silicon by molecular beam epitaxy (MBE) have demonstrated superior performances at 1.3 μm [2, 5-6, 8–10]. InP-based lasers have been serving as the workhorse in long wavelength telecom regime, offering a higher resistance to device degradation as compared with GaAs-based lasers, especially when the wavelength is extended to C-band [11-12]. Various alloy compositions can be tuned to achieve a wider operation wavelength range from 1.2 to 2 μm [11], and these lasers possess a better-established platform with high-yield processes as well [13]. Essentially, bonding is the only existing option for integrating InP-based lasers on silicon for fiber optic communications and silicon photonics. For direct growth of InP-based lasers on silicon, it is challenging to overcome the large mismatch of the lattice constants (8% compared to 4% of GaAs/Si) that generates high density of defects, as well as issues related with the polar/nonpolar growth. A few successful demonstrations of InGaAsP/InP lasers grown on offcut silicon has been reported with either an emission wavelength at 1.3 μm [14] or the incorporation of buffer layers as thick as 15 μm for dislocation management [12]. To date, there has been no successful realization of InP-based lasers on exact (001) Si substrates, though attempts have been made realizing spontaneous emission at C-band from QW structures grown on patterned (001) Si [15-16].

Recently, our group has reported the first C-band lasing results of InP-based hetero-epitaxial lasers on silicon in optically-pumped microdisk structures [17-18] and nanowires (NWs) [19]. Here, we report the first room-temperature electrically pumped InGaAs/InAlGaAs MQW laser on an InP-on-V-grooved-Silicon (IoVS) template grown by MOCVD, with a total buffer thickness of only 1.5 μm. A fabricated 10 μm × 0.5 mm ridge-waveguide laser emits at 1.46 μm with a threshold current density of 3.3 kA/cm2. A total output power of 70 mW, and an excellent characteristic temperature T0 = 133 K have been obtained. High crystalline quality of the hetero-epitaxially grown InP thin films on Si is also proven through the room-temperature operation of these injection lasers, which require the most stringent material qualities.

2. Material growth and laser fabrication

Figure 1 illustrates the complete schematic diagram and configuration of the as-fabricated laser structure on V-grooved (001) Si. All the material growth including the IoVS template and the laser epi-structures were completed using an AIX-200/4 MOCVD system. The 130-nm-pitch V-grooved silicon was prepared from a SiO2 stripe-masked (001) Si wafer using KOH wet etch (45% concentration at 70°C) [20]. After 800°C thermal desorption of the native oxide, a 10 nm GaAs wetting layer were firstly grown at a low temperature (LT) of 400°C to cover the exposed (111) Si facets inside the V-grooves. Without the GaAs wetting layer, the InP seed layer is not uniform and large InP clusters can be easily generated, which is detrimental to further coalescence to thin films. Additionally, this ultra-thin GaAs serves as a strain reducing layer for the subsequent InP growth [21]. For the InP NW growth, a two-step growth procedure was applied, with a LT-InP nucleation layer at 435°C and a middle-temperature (540°C) InP buffer until the formation of uniform InP NW arrays. After the selective area growth (SAG) of InP NWs, the SiO2 stripe patterns were removed. 1.5 μm coalesced InP thin film was then overgrown on top of the NWs with an 80 nm thick strained InGaAs interlayer inserted as the dislocation filter [22]. The growth temperature of the coalesced InP thin film was elevated to a higher temperature of 600-630°C. Figure 2(b) presents a cross-sectional transmission electron microscopy (TEM) image of the overall IoVS template, revealing a clean and flat planar InP surface. High density of defects, such as stacking faults (SFs) and threading dislocations (TDs) generated at the hetero-interface between the Si and InP are mostly accommodated by the thin GaAs wetting layer and confined within the diamond-shaped pockets [Fig. 2(d)] via epitaxial necking effect [23]. For some escaped defects propagating upward, they are further partially filtered by the strained InGaAs layer [Fig. 2(c)]. The AFM image shown in Fig. 2(e) indicates an antiphase-boundary (APB) free InP surface with a root-mean-square (RMS) value of 3.31 nm across a scanning area of 10 × 10 μm2. The APB free surface is further supported by the plan-view TEM image in Fig. 2(g). The short-dashed structures on the surface originate from the SFs in the InP buffer underneath. These escaped SFs resulted in the observed defects on the top InP surface, with a density of 1.2 × 108 cm−2 based on the plan-view TEM characterization. The pre-existing SFs have adverse effects on devices to a certain degree: (1) reducing light emission from the device, (2) driving up the lasing threshold current by the enhanced nonradiative recombination, and (3) degrading the devices by the propagation of these planar defects as well as new crystal defects from the vicinity of the pre-existing SFs [24]. CW lasing is prohibited by the rapid formation of these degradation defects at high current injection. The XRD omega-rocking curve on the IoVS shows a full width at half-maximum (FWHM) value of 388 arcsec [Fig. 2(f)], nearly half of the value of blanket epitaxy of InP on planar Si substrates (745 arcsec). According to Ayers’ model [22], the upper bound of TD density of IoVS is ~3.7 times lower than the InP on planar Si. To quantify the TD density of the IoVS template, the large-area statistical plan-view TEM scan (scanning area of 59 μm2, combining 6 images of 3.13 × 3.13 μm2 region) was performed, with a representative image shown in Fig. 2(g) to demonstrate different defect types. The TD density count is 2.4 × 108 cm−2, which is evidently lower compared to the same thickness of InP on planar Si substrate with a TD density of 1.1 × 109 cm−2 (not shown in this paper).

 figure: Fig. 1

Fig. 1 Schematic architecture of InGaAs/InAlGaAs MQW LD directly grown on on-axis (001) Si. The IoVS template contains a 0.4 μm coalesced InP thin film out of highly ordered InP nanowire arrays grown on V-grooved Si substrates, a single 80 nm InGaAs interlayer for dislocation filtering and an 1.1 μm Si-doped n-InP for n-metal contact. The laser epi-structures consist of a 65 nm In0.52Ga0.48As Zn-doped p-contact layer, an 1 μm p-InP upper cladding laser, seven pairs of 8 nm undoped In0.54Ga0.46As quantum well and 23 nm In0.53Al0.2Ga0.27As barrier sandwiched by two 77 nm In0.525Al0.3Ga0.175As waveguide layers, a 630 nm n-InP lower cladding and an 120 nm n-InP contact layer.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 (a) Cross-sectional SEM of the as-fabricated FP laser; (b) Cross-sectional TEM of the complete IoVS template; (c) Zoomed-in image of the inserted InGaAs interlayer, indicating the dislocation filtering effects. (d) High resolution TEM of the V-grooved diamond pocket structure. Most of the stacking faults were trapped in the 10-nm-thick GaAs wetting layer inside the V-grooved Si pockets. (e) AFM image of 10 × 10 μm2 area on the IoVS template, showing a smooth surface with the RMS value of 3.31 nm; (f) The InP (004) omega-rocking curve showing a FWHM value of 388 arcsec; (g) Plan-view TEM image.

Download Full Size | PDF

The active region containing 7-layer undoped InGaAs/InAlGaAs MQWs sandwiched by 77 nm InAlGaAs separate confinement heterostructures (SCHs) was then grown on the IoVS template. The p-InP cladding and p-InGaAs contact were doped by Zn with a doping concentration of 9 × 1017 cm−3 and 1.6 × 1019 cm−3 respectively. The n-InP cladding and contact were doped by Si with a concentration of 5.5 × 1017 cm−3 and 2.5 × 1018 cm−3 respectively. The 1.1 μm n-InP above the InGaAs interlayer within the IoVS template serves as the n-contact, facilitating for the dry etching process.

The as-grown samples were fabricated into ridge-waveguide lasers with cavity widths varying from 2 to 70 μm by standard optical lithography and following inductively coupled plasma (ICP) etch. The top mesa was dry-etched right above the upper InAlGaAs SCH, forming the ridge waveguide. The second mesa was designed to be wider than the ridge waveguide, keeping the optical mode in the QWs away from the sidewalls to minimize losses. The second dry-etch at the n-InP contact layer was optimized for a smooth surface to ensure good n-metal contact. A 500 nm SiO2 layer was deposited on top for electrical isolation. Unlike conventional lasers grown on n + -InP substrates with a fully vertical geometry, a lateral device topology with a “top-top” contact was adopted. Ti/Pt/Au and Ge/Au/Ni/Au were used for p- and n-contact respectively. This lateral structure prevents the current to pass through the defective III-V/Si region that might lead to lifetime and reliability issues. After lapping the substrate down to ~100 μm, the wafer was cleaved into laser bars with cavity lengths varying from 0.5 to 2 mm. No coatings were applied to the facets. A cross-sectional scanning electron microscope (SEM) image of one 10 μm × 0.5 mm laser is shown in Fig. 2(a), revealing a clean and mirror-like cleaved facet.

3. Results and discussion

Figures 3(a) and 3(b) present the representative light-current-voltage (LIV) characteristics of a 10 μm × 0.5 mm laser measured at room-temperature under pulsed current injection (0.5% duty cycle and 400 ns pulse width). The measured 0.7 V turn-on voltage and 2 Ω series resistance indicate good metal contacts for efficient current injection. A threshold current Ith = 360 mA was extracted by linear fitting the high-injection region, corresponding to a threshold current density of Jth = 7.2 kA/cm2. The output power per facet reached 35 mW at an injection current of 1 A, leading to the total power of 70 mW from both cleaved facets. Figure 3(c) shows a set of spectra at progressively higher injections from the 10 μm × 0.5 mm laser. The transition from spontaneous emission to lasing is evident from the sudden narrowing of the emission envelope. Multiple longitudinal mode lasing was observed at higher injection levels with the primary lasing peak at 1.46 μm. The blue-shift of the lasing wavelength compared to the one on InP native substrate (1.48 μm) is partially attributed to the residual compressive strain within the MQW region on IoVS, due to different thermal expansion coefficients between InP and Si. Obvious Fabry-Perot (FP) oscillations can be identified around the lasing threshold [Fig. 3(d)], with distinct free spectral range (FSR) of the longitudinal modes of 0.62 nm, which corresponds to an average group index of ng = 3.44 for an overall 0.5 mm long FP cavity according to Δλ= λ2/2ngLv. Threshold currents (red circles) and threshold current densities (blue circles) of devices with different cavity widths and a fixed length of 0.5 mm are displayed in Fig. 3(e). A clear trend of higher threshold and lower threshold current density with larger laser widths is revealed, which is due to the increased current injection area [25]. For benchmarking, the lasers grown on silicon were compared with those on a native InP substrate with identical structure and growth parameters. As shown in Fig. 3(e), the thresholds of lasers grown on Si are around three times higher than those on InP. This disparity is mainly due to the penetration of some defects through the QWs on Si, though most of them have been annihilated inside the buffer layers. Figure 4(d) investigates the relationship between threshold current density and laser cavity length. The threshold current density deceased by 42% with cavity length increased from 0.5 mm to 2 mm. The lowest threshold current density Jth = 3.3 kA/cm2 was obtained from a 20 μm × 2 mm device, which possesses the largest current injection area.

 figure: Fig. 3

Fig. 3 (a)(b) LIV characteristics for a 20 μm × 0.5 mm laser on silicon measured at 20 °C; (c) Emission spectra at various injection current. Emission intensities below the threshold are zoomed-in to better reveal the electroluminescence profile; (d) Enlarged emission spectrum at 350 mA current injection, showing FP oscillations in the cavity with a FSR = 0.62 nm; (e) Threshold current and threshold current density as a function of laser cavity width with a fixed cavity length of 0.5 mm on (001) Si and InP substrates.

Download Full Size | PDF

 figure: Fig. 4

Fig. 4 Internal parameters (internal quantum efficiency ηi, internal loss αi, modal gain Γg0 and transparency current density Jtr) extracted from length-dependent laser LI measurements. Inverse differential quantum efficiency against cavity length of (a) 2 μm wide LD on InP and (b) 20 μm wide LD on Si. Threshold current density of (c) 2 μm wide LD on InP and (d) 20 μm wide LD on Si as a function of reciprocal cavity length.

Download Full Size | PDF

To analyze the effect of the InP buffer quality on the thresholds of lasers on Si and InP substrates, cavity length-dependent light-current (LI) measurements were performed to investigate the important internal parameters including the internal quantum efficiency (IQE) ηi, internal loss αi, modal gain Γg0 and transparency current density Jtr. Firstly, the differential quantum efficiency (DQE) is calculated from the slope of each LI curve

ηd=qhνdP0dI,
where ν corresponds to the lasing frequency, q is the electron charge, h is the Planck’s constant, P0 is the total output power and I is the injection current. Figures 4(a) and 4(b) plot the inverse differential quantum efficiency 1/ ηd as a function of the cavity length L. The solid lines are the fittings to the experimental data according to the Eq.
1ηd=L · αiηi · ln(1R)+1ηi,
where R is the mean mirror reflectivity. Normally for InP-based cleaved mirrors, R ≈0.32. ηi and αi can thus be extracted to be ηi=62.8 %, αi=11.4 cm1 and ηi=23.8 %,  αi=12.1 cm1 for lasers on InP and Si, respectively. The IQE of lasers on Si is 2.6 times lower than that of lasers on InP, which is consistent with the three-times-higher threshold for the laser on Si compared to InP. The difference in IQE primarily arises from the enhanced non-radiative recombination induced by defects in the active layers grown on Si. The αi values are comparable because internal optical loss is mainly due to the free carrier absorption in the active region, which is caused by a relatively high unintentionally doping level (~3 × 1017 cm−2 in the InAlGaAs barrier) that can be tuned in future experiments.

The threshold gain gth and the material gain coefficient g0 satisfy the relation of

gth= g0·ln(JthJtr),
And the threshold model gain Γgth equals to the sum of internal loss αi and mirror loss αm
Γgth=αi+αm,
in which
αm=1Lln(1R) ,
Combining Eqs. (3)-(5), one can deduce the relationship of threshold current density and cavity length
Jth=Jtr·eαi+1Lln(1R)Γg0,
where Jtr is the transparency current density and Γg0 is the model gain. Fitting the thresholds data with Eq. (6), as indicated by the solid lines in Figs. 4(c) and 4(d), the model gain and transparency current density of lasers on InP and Si can be extrapolated to be Γg0=70 cm1, Jtr=2.87 kA/cm2 and Γg0=38 cm1, Jtr=2.33 kA/cm2, respectively. According to the simplified expression of the threshold
Ith qVBNtr2ηie2(αi+αm)/Γg0,
where Ntr is the transparency carrier density and B is the bimolecular recombination coefficient, both deduced lower modal gain and lower IQE for the MQWs grown on Si are consistent with the experimentally obtained higher thresholds of lasers on Si. Better intrinsic performance of the heteroepitaxially grown lasers can be envisaged by improving the crystalline quality of the initial InP-on-silicon templates via some additional dislocation reduction approaches, i.e. thermal cycled growth and adopting multiple dislocation filters.

To examine high temperature operation of the lasers, temperature-dependent LI measurements were performed. Figure 5 presents the LI characteristics of a 10 μm × 0.5 mm laser on IoVS at various heat sink temperatures. Lasing behavior can sustain up to 60 °C. The threshold current increases at elevated temperatures as indicated by parameters Ntr, g0, αi and ηi in Eq. (7) that generally have a significant temperature dependence. Ntr is increased and g0 is reduced for injected carriers with various energies at higher temperatures. The increased αi results from the required higher carrier densities for threshold. And ηi will decrease at higher temperatures due to increased leakage currents. Also, significant reduction of the DQE is observed as temperature rises, reflecting enhanced Auger recombination as well as possible carrier leakage and intervalence band absorption effects. Based on the formula Ith(T1)Ith(T2)=exp(T1T2T0), characteristic temperatures of T0 = 133 K and T0 = 46.3 K can be calculated in the range of 20 °C-40 °C and 40 °C-60 °C, respectively [Fig. 6(a)]. The device with the same dimension on InP is able to operate beyond 85 °C (limited by the heatsink temperature) with a higher T0 value of 174 K at 20 °C-60 °C, 51.5 K at 60 °C-75 °C and 15.1 K at 75 °C-85 °C [Fig. 6(b)]. The characteristic temperatures of lasers on Si are somewhat lower than those of InP in the same temperature range, indicating an inferior temperature stability of defect-dominated threshold current.

 figure: Fig. 5

Fig. 5 Measured LI curves of a 10 μm × 0.5 mm laser on silicon as a function of the heat sink temperature.

Download Full Size | PDF

 figure: Fig. 6

Fig. 6 Threshold current as a function of heat sink temperature of a 10 μm × 0.5 mm laser on (a) (001) Si and (b) InP. The characteristic temperature T0 was extrapolated to be 133.3 K in the range of 20 °C to 40 °C and 46.3 K in the range of 40 °C to 60 °C for device on Si, and 174 K in 20°C - 60°C, 51.5 K in 60 °C - 75 °C and 15.1 K in 75 °C −85 °C for the device on InP.

Download Full Size | PDF

To further improve the laser performance, enhancing the optical properties of the InGaAs/InAlGaAs MQW is needed. Early experiments have revealed that incorporation of oxygen impurities associated with Al containing layers is severe. This issue can be well addressed by adopting TMAl source with higher purity (reduced-oxygen grade), elevating the MQW growth temperature to 650°C, and increasing the V/III ratio during the InAlGaAs growth. On the other hand, introducing compressive strain in the InGaAs quantum wells by increasing the Indium composition will definitely reduce the threshold currents on both InP and IoVS, as well as decrease the Auger recombination and intervalence band absorption [26]. Moreover, especially for lasers on Si, a smoother InP buffer surface is preferred. The larger thickness variations in the MQWs induced by the InP buffer roughness will lead to more carrier traps at the interfaces between the InGaAs/InAlGaAs. These traps act as non-radiative recombination centers, degrading the internal quantum efficiency of the quantum well [22]. XRD omega-2theta scan can be applied in the future to distinguish the interfaces of the QW active layers.

4. Conclusion

In conclusion, we have demonstrated the first room-temperature electrically pumped 1.5 μm InGaAs/InAlGaAs MQW lasers monolithically grown on exact (001) Si. The well-developed InP-on-Si template significantly reduces dislocation defects penetrating into the high quality MQW region, leading to a laser threshold current density of 3.3 kA/cm2, a total output power of 70 mW and a high characteristic temperature of 133 K under pulsed injection. The lasers are capable of operating at elevated temperatures up to 60 °C. Detailed internal parameters were analyzed from the device results for better material evaluation and future improvement. All these outcomes offer a path to fulfill the long-awaited platform of InP/Si monolithic integration for Si photonics, and further facilitate a future manufacturing alternative to bonding of long-wavelength telecom light sources monolithically integrated on CMOS-compatible silicon substrates.

Funding

Research Grants Council of Hong Kong (614813, 16212115); Innovation Technology Fund of Hong Kong (ITS/273/16FP).

Acknowledgments

We thank the Nanoelectronic Fabrication Facility (NFF) and the Materials Characterization & Preparation Facility (MCPF) of HKUST for their technical support, Dr. Huaxing Jiang for test setup assistance, Mr. Chak Wah Tang for his growth assistance and Dr. Yating Wan for fruitful discussions.

References and links

1. D. Liang and J. E. Bowers, “Recent progress in lasers on silicon,” Nat. Photonics 4(8), 511–517 (2010). [CrossRef]  

2. J. Norman, M. J. Kennedy, J. Selvidge, Q. Li, Y. Wan, A. Y. Liu, P. G. Callahan, M. P. Echlin, T. M. Pollock, K. M. Lau, A. C. Gossard, and J. E. Bowers, “Electrically pumped continuous wave quantum dot lasers epitaxially grown on patterned, on-axis (001) Si,” Opt. Express 25(4), 3927–3934 (2017). [CrossRef]   [PubMed]  

3. M. E. Groenert, C. W. Leitz, A. J. Pitera, V. Yang, H. Lee, R. J. Ram, and E. A. Fitzgerald, “Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers,” J. Appl. Phys. 93(1), 362–367 (2003). [CrossRef]  

4. D. Jung, Y. Song, M. Lee, T. Masuda, and X. Huang, “InGaAs/GaAs quantum well lasers grown on exact GaP/Si (001),” Electron. Lett. 50(17), 1226–1227 (2014). [CrossRef]  

5. A. Y. Liu, J. Peters, X. Huang, D. Jung, J. Norman, M. L. Lee, A. C. Gossard, and J. E. Bowers, “Electrically pumped continuous-wave 1.3 μm quantum-dot lasers epitaxially grown on on-axis (001) GaP/Si,” Opt. Lett. 42(2), 338–341 (2017). [CrossRef]   [PubMed]  

6. S. Chen, M. Liao, M. Tang, J. Wu, M. Martin, T. Baron, A. Seeds, and H. Liu, “Electrically pumped continuous-wave 1.3 µm InAs/GaAs quantum dot lasers monolithically grown on on-axis Si (001) substrates,” Opt. Express 25(5), 4632–4639 (2017). [CrossRef]   [PubMed]  

7. B. Shi, S. Zhu, Q. Li, Y. Wan, E. L. Hu, and K. M. Lau, “Continuous-wave optically pumped 1.55 μm InAs/InAlGaAs quantum dot microdisk lasers epitaxially grown on Silicon,” ACS Photonics 4(2), 204–210 (2017). [CrossRef]  

8. J. Yang, P. Bhattacharya, and Z. Mi, “High-performance In0.5Ga0.5As/GaAs quantum-dot lasers on Silicon with multiple-layer quantum-dot dislocation filters,” IEEE Trans. Electron Dev. 54(11), 2849–2855 (2007). [CrossRef]  

9. A. Y. Liu, C. Zhang, J. Norman, A. Snyder, D. Lubyshev, J. M. Fastenau, A. W. K. Liu, A. C. Gossard, and J. E. Bowers, “High performance continuous wave 1.3 μm quantum dot lasers on silicon,” Appl. Phys. Lett. 104(4), 041104 (2014). [CrossRef]  

10. S. Chen, W. Li, J. Wu, Q. Jiang, M. Tang, S. Shutts, S. Elliott, A. Sobiesierski, A. Seeds, I. Ross, P. Smowton, and H. Liu, “Electrically pumped continuous-wave III–V quantum dot lasers on silicon,” Nat. Photonics 10(5), 307–311 (2016). [CrossRef]  

11. S. Bhowmick, M. Z. Baten, T. Frost, B. S. Ooi, and P. Bhattacharya, “High performance InAs/In0.53Ga0.23Al0.24As/InP quantum dot 1.55 μm tunnel injection laser,” IEEE J. Quantum Electron. 50(1), 7–14 (2014). [CrossRef]  

12. M. Sugo, H. Mori, Y. Itoh, Y. Sakai, and M. Tachikawa, “1.5 µm-long-wavelength multiple quantum well laser on a Si substrate,” Jpn. J. Appl. Phys. 30(Part 1, No. 12B), 3876–3878 (1991). [CrossRef]  

13. R. Wang, S. Sprengel, G. Boehm, M. Muneeb, R. Baets, M. C. Amann, and G. Roelkens, “2.3 µm range InP-based type-II quantum well Fabry-Perot lasers heterogeneously integrated on a silicon photonic integrated circuit,” Opt. Express 24(18), 21081–21089 (2016). [CrossRef]   [PubMed]  

14. M. Razeghi, M. Defour, R. Blondeau, F. Omnes, P. Maurel, O. Acher, F. Brillouet, J. C. C-Fan, and J. Salerno, “First cw operation of a Ga0.25In0.75As0.5P0.5‐InP laser on a silicon substrate,” Appl. Phys. Lett. 53(24), 2389–2390 (1988). [CrossRef]  

15. L. Megalini, B. C. Cabinian, H. Zhao, D. C. Oakley, J. E. Bowers, and J. Klamkin, “Large-area direct hetero-epitaxial growth of 1550-nm InGaAsP multi-quantum-well structures on patterned exact-oriented (001) Silicon substrates by metal organic chemical vapor deposition,” J. Electron. Mater. 47(2), 982–987 (2018). [CrossRef]  

16. H. Kataria, W. Metaferia, C. Junesand, C. Zhang, N. Julian, J. E. Bowers, and S. Lourdudoss, “Simple epitaxial lateral overgrowth process as a strategy for photonic integration on silicon,” IEEE J. Sel. Top. Quantum Electron. 20(4), 380–386 (2014). [CrossRef]  

17. S. Zhu, B. Shi, Q. Li, Y. Wan, and K. M. Lau, “Parametric study of high-performance 1.55 μm InAs quantum dot microdisk lasers on Si,” Opt. Express 25(25), 31281–31293 (2017). [CrossRef]   [PubMed]  

18. B. Shi, S. Zhu, Q. Li, C. W. Tang, Y. Wan, E. L. Hu, and K. M. Lau, “1.55 μm room-temperature lasing from subwavelength quantum-dot microdisks directly grown on (001) Si,” Appl. Phys. Lett. 110(12), 121109 (2017). [CrossRef]  

19. Y. Han, Q. Li, S. Zhu, K. W. Ng, and K. M. Lau, “Continuous-wave lasing from InP/InGaAs nanoridges at telecommunication wavelengths,” Appl. Phys. Lett. 111(21), 212101 (2017). [CrossRef]  

20. Q. Li, K. W. Ng, and K. M. Lau, “Growing antiphase-domain-free GaAs thin films out of highly ordered planar nanowire arrays on exact (001) silicon,” Appl. Phys. Lett. 106(7), 072105 (2015). [CrossRef]  

21. Y. Han, Q. Li, and K. M. Lau, “Highly ordered horizontal indium gallium arsenide/indium phosphide multi-quantum-well in wire structure on (001) silicon,” J. Appl. Phys. 120(24), 245701 (2016). [CrossRef]  

22. B. Shi, Q. Li, Y. Wan, K. W. Ng, X. Zou, C. W. Tang, and K. M. Lau, “InAlGaAs/InAlAs MQWs on Si Substrate,” IEEE Photonics Technol. Lett. 27(7), 748–751 (2015). [CrossRef]  

23. Q. Li, K. W. Ng, C. W. Tang, K. M. Lau, R. Hill, and A. Vert, “Defect reduction in epitaxial InP on nanostructured Si (001) substrates with position-controlled seed arrays,” J. Cryst. Growth 405, 81–86 (2014). [CrossRef]  

24. S. Guha, J. M. DePuydt, M. A. Haase, J. Qiu, and H. Cheng, “Degradation of II-VI based blue-green light emitters,” Appl. Phys. Lett. 63(23), 3107–3109 (1993). [CrossRef]  

25. D. Jung, J. Norman, M. J. Kennedy, C. Shang, B. Shin, Y. Wan, A. C. Gossard, and J. E. Bowers, “High efficiency low threshold current 1.3 μm InAs quantum dot lasers on on-axis (001) GaP/Si,” Appl. Phys. Lett. 111(12), 122107 (2017). [CrossRef]  

26. A. Kasukawa, R. Bhat, C. E. Zah, M. A. Koza, and T. P. Lee, “Very low threshold current density 1.5 μm GaInAs/AlGaInAs graded-index separate-confinement-heterostructure strained quantum well laser diodes grown by organometallic chemical vapor deposition,” Appl. Phys. Lett. 59(20), 2486–2488 (1991). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1
Fig. 1 Schematic architecture of InGaAs/InAlGaAs MQW LD directly grown on on-axis (001) Si. The IoVS template contains a 0.4 μm coalesced InP thin film out of highly ordered InP nanowire arrays grown on V-grooved Si substrates, a single 80 nm InGaAs interlayer for dislocation filtering and an 1.1 μm Si-doped n-InP for n-metal contact. The laser epi-structures consist of a 65 nm In0.52Ga0.48As Zn-doped p-contact layer, an 1 μm p-InP upper cladding laser, seven pairs of 8 nm undoped In0.54Ga0.46As quantum well and 23 nm In0.53Al0.2Ga0.27As barrier sandwiched by two 77 nm In0.525Al0.3Ga0.175As waveguide layers, a 630 nm n-InP lower cladding and an 120 nm n-InP contact layer.
Fig. 2
Fig. 2 (a) Cross-sectional SEM of the as-fabricated FP laser; (b) Cross-sectional TEM of the complete IoVS template; (c) Zoomed-in image of the inserted InGaAs interlayer, indicating the dislocation filtering effects. (d) High resolution TEM of the V-grooved diamond pocket structure. Most of the stacking faults were trapped in the 10-nm-thick GaAs wetting layer inside the V-grooved Si pockets. (e) AFM image of 10 × 10 μm2 area on the IoVS template, showing a smooth surface with the RMS value of 3.31 nm; (f) The InP (004) omega-rocking curve showing a FWHM value of 388 arcsec; (g) Plan-view TEM image.
Fig. 3
Fig. 3 (a)(b) LIV characteristics for a 20 μm × 0.5 mm laser on silicon measured at 20 °C; (c) Emission spectra at various injection current. Emission intensities below the threshold are zoomed-in to better reveal the electroluminescence profile; (d) Enlarged emission spectrum at 350 mA current injection, showing FP oscillations in the cavity with a FSR = 0.62 nm; (e) Threshold current and threshold current density as a function of laser cavity width with a fixed cavity length of 0.5 mm on (001) Si and InP substrates.
Fig. 4
Fig. 4 Internal parameters (internal quantum efficiency η i , internal loss α i , modal gain Γ g 0 and transparency current density J tr ) extracted from length-dependent laser LI measurements. Inverse differential quantum efficiency against cavity length of (a) 2 μm wide LD on InP and (b) 20 μm wide LD on Si. Threshold current density of (c) 2 μm wide LD on InP and (d) 20 μm wide LD on Si as a function of reciprocal cavity length.
Fig. 5
Fig. 5 Measured LI curves of a 10 μm × 0.5 mm laser on silicon as a function of the heat sink temperature.
Fig. 6
Fig. 6 Threshold current as a function of heat sink temperature of a 10 μm × 0.5 mm laser on (a) (001) Si and (b) InP. The characteristic temperature T0 was extrapolated to be 133.3 K in the range of 20 °C to 40 °C and 46.3 K in the range of 40 °C to 60 °C for device on Si, and 174 K in 20°C - 60°C, 51.5 K in 60 °C - 75 °C and 15.1 K in 75 °C −85 °C for the device on InP.

Equations (7)

Equations on this page are rendered with MathJax. Learn more.

η d = q hν d P 0 dI ,
1 η d = L ·  α i η i  · ln( 1 R ) + 1 η i ,
g th =  g 0 ·ln( J th J tr ),
Γ g th = α i + α m ,
α m = 1 L ln( 1 R ) ,
J th = J tr · e α i + 1 L ln( 1 R ) Γ g 0 ,
I th   qVB N tr 2 η i e 2( α i + α m )/Γ g 0 ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.