Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Reflectionless dual standing-wave microcavity resonator units for photonic integrated circuits

Open Access Open Access

Abstract

We propose a novel photonic circuit element configuration that emulates the through-port response of a bus coupled traveling-wave resonator using two standing-wave resonant cavities. In this “reflectionless resonator unit”, the two constituent cavities, here photonic crystal (PhC) nanobeams, exhibit opposite mode symmetries and may otherwise belong to a single design family. They are coupled evanescently to the bus waveguide without mutual coupling. We show theoretically, and verify using FDTD simulations, that reflection is eliminated when the two cavities are wavelength aligned. This occurs due to symmetry-induced destructive interference at the bus coupling region in the proposed photonic circuit topology. The transmission is equivalent to that of a bus-coupled traveling-wave (e.g. microring) resonator for all coupling conditions. We experimentally demonstrate an implementation fabricated in a new 45 nm silicon-on-insulator complementary metal-oxide semiconductor (SOI CMOS) electronic-photonic process. Both PhC nanobeam cavities have a full-width half-maximum (FWHM) mode length of 4.28 μm and measured intrinsic Q’s in excess of 200,000. When the resonances are tuned to degeneracy and coalesce, transmission dips of the over-coupled PhC nanobeam cavities of −16 dB and −17 dB nearly disappear showing a remaining single dip of −4.2 dB, while reflection peaks are simultaneously reduced by 10 dB, demonstrating the quasi-traveling-wave behavior. This photonic circuit topology paves the way for realizing low-energy active devices such as modulators and detectors that can be cascaded to form wavelength-division multiplexed links with smaller power consumption and footprint than traveling wave, ring resonator based implementations.

© 2020 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

Integrated photonics is emerging as a key technology for extending the continued scaling of compute power to meet new demands of big data analytics, distributed machine learning, and other workloads handling massive amounts of data, as the interconnect becomes more important. The demonstration of the first microprocessor with monolithically integrated optical I/O [1] in 2015 has signaled this transition. Now, as Moore’s Law of semiconductor scaling has further slowed, new faster processors are being built using heterogeneous integration of multiple chiplets in the chip package [2,3], opening the door to industrial scale incorporation of photonic I/O chiplets in the package of high performance processors including CPUs, GPUs, FPGAs and other ASICs. The recent demonstration of a high-performance FPGA with silicon photonic in-package terabit I/O [4] is a harbinger of this trend.

The key capability that integrated photonics offers is optical interconnect with high bandwidth density (Tbps/mm of chip edge occupied, and Tbps/mm$^2$ of chip area used) and low energy (pJ/bit) footprint. Wavelength division multiplexing (WDM) is essential to achieving the required bandwidth densities to provide, for example, terabits per second to a single chip package. At the same time, future demands of 10 Tbps to 1 Pbps from the package [5] call for optical link energies of the order of 10 fJ/bit and lower for short distances under 1 m [6,7].

The highest density silicon photonic links to date are based on WDM using microring resonators (e.g. [1,4]), and are capable of picojoule-per-bit total link energies. Microring resonators, a prime example of traveling-wave resonators, support WDM channel multiplexing intrinsically by design in that they can be straightforwardly cascaded on a bus waveguide. Hence, they have been developed extensively to realize building block devices for WDM systems such as modulators [811], detectors [12], and add-drop filters [13].

Standing-wave resonators, such as 1D photonic crystal (PhC) nanobeam cavities, support high quality factors with stronger mode confinement than rings. This enhances the light-matter interaction in the device and has lead to demonstrations of lasers [14], nonlinear devices [15], and sensors [16]. It also suggests a path towards active devices including modulators [17] and detectors [18], with lower energy and smaller footprint. Furthermore, photonic crystal resonators’ rectilinear geometries may be more compatible than rings with the very restrictive geometries allowed by multiple patterning processes in advanced FinFET CMOS process nodes [19,20].

However, the wider adoption of PhC nanobeam cavities (or any photonic crystal structure) for WDM optical links has been impeded by their lack of straightforward cascadability to enable multiplexing of wavelength channels. That is, evanescently coupling a bus waveguide to a PhC nanobeam cavity results in back reflection (on resonance) caused by the standing-wave nature of the resonant mode. The portion of reflected light increases with the coupling strength, and could reach up to 100% if the cavity is over-coupled to the bus waveguide. Such reflection is undesirable. It could amount to optical loss that is an additional power penalty in the link budget, or destabilize feeding laser sources. If one is to realize WDM links utilizing PhC nanobeam cavities, as has been done with microring resonators [1], the issue of reflection must be addressed. If addressed, a PhC-based CMOS photonic platform could open the way to even more compact, lower energy photonic links.

An additional, designer’s challenge to the use of 1D PhC nanobeams in WDM on-chip links is complexity of design. In comparison to microrings, for example, to design and tune an array of PhC nanobeam cavities to a WDM grid calls for sophisticated simulation techniques and/or resource-expensive simulations such as finite-difference time-domain (FDTD). However, this does not impose any fundamental challenges, and more efficient design techniques are being developed.

PhC nanobeam cavities can emulate traveling-wave resonators, thereby eliminating back reflection, by implementing any one of several proposed schemes [2132]. In most of the schemes proposed to date, two identical standing-wave resonators with the same resonance frequency and quality factor are used. The two identical cavities give rise to symmetric and antisymmetric supermodes of the two-cavity system. The cavities are evanescently coupled to the same bus waveguide, which causes indirect coupling between the two cavities, therefore lifting the degeneracy of the two supermodes. To eliminate any reflection, degeneracy between the symmetric and antisymmetric supermodes of the coupled cavity system must be reestablished. This is achieved by, first, tuning the phase delay between the cavities to an exact value. Second, the cavities have to be either directly coupled to each other [27] and/or a drop bus waveguide must be connected to one [2831] or both cavities [2126]. The practical implementation of such topologies requires a minimum of three thermo-optic refractive index tuners, where one is used to tune the phase delay between the two cavities and the other two are used to tune the cavities to the same resonance frequency. This not only contributes to the power consumption (both microheater and control circuit) of the system, possibly erasing the energy advantage of photonic crystal resonators, but also raises a question about the control complexity and scalability of any WDM systems based on such a topology. Moreover, requiring a drop port or direct coupling between the cavities adds to the complexity and footprint of the device. An alternative approach is to realize a single resonator which can support two degenerate standing-wave modes with opposite symmetries, effectively realizing a variation of what is essentially the ring resonator. Such an approach has been studied in 2D photonic crystal cavities [26] and recently in a multi-mode waveguide PhC nanobeam cavity, albeit with a relatively low quality factor and mode confinement, where Bragg mirrors at both ends of the cavity acted as mode converters [32].

In this paper, we propose and experimentally demonstrate a novel topology utilizing two PhC nanobeam cavities with opposing mode symmetries to emulate the through-port response of a traveling-wave resonator. The principle of operation of the proposed topology is illustrated in Fig. 1(a), where two standing-wave resonators are coupled evanescently to a bus waveguide. The horizontal center-to-center distance between the two cavities is zero, i.e. the cavities are aligned to each other down the middle, as denoted by the vertical dashed line which also indicates a symmetry plane. Assuming that the resonance frequencies, $\omega _o$, and quality factors of both cavities are identical, light coupled in the backward direction from the two cavities will have equal intensities and a $\pi$-radian phase difference. Therefore, reflected light emanating from the two cavities will destructively interfere resulting in no back-reflection in the device. On the other hand, light coupled in the forward direction will constructively interfere. This can be understood intuitively by noting that the spatial carrier wave of the resonant mode in the symmetric cavity is a cosine function, which is symmetric about the vertical dashed line, that can be expressed in terms of in-phase forward and backward propagating waves according to Euler’s formula, $\cos (\beta z)=1/2(e^{-j\beta z}+e^{j\beta z})$, where $\beta$ is the waveguide propagation constant and $z$ is the direction of the bus waveguide and the incident wave. On the other hand, the antisymmetric mode has a sinusoidal carrier, which is antisymmetric with respect to the vertical dashed line, that can be expressed in terms of out-of-phase forward and backward traveling waves $\sin (\beta z)=j/2(e^{-j\beta z}-e^{j\beta z})$. In the phase relationship in which these two modes are excited by the incident wave, light coupled from the cavities in the forward direction will constructively interfere while light coupled in the backward direction will destructively interfere.

 figure: Fig. 1.

Fig. 1. (a) Abstract representation of the proposed topology using two standing-wave resonators with opposite mode symmetry and its equivalency to a traveling-wave resonator. (b) Physical realization of the proposed concept using two PhC nanobeam cavities supporting opposite mode symmetries.

Download Full Size | PDF

A particularly advantageous physical realization of the device is shown in Fig. 2 using PhC nanobeam cavities. PhC nanobeam cavities are known to support two families of modes, with spatial carriers that are respectively symmetric or antisymmetric about the center of the cavity, as determined by the displacement of etch holes relative to the center of the cavity [33]. If utilizing matching mirror strength profiles, the two families of modes can have (near) identical mode envelopes (and resonant frequencies), but opposite symmetry spatial carriers under the envelopes. This means that two cavities can be designed, both operating in their fundamental mode, with matching resonant frequencies, but with opposite spatial carriers, and thus having field distributions along $z$ that are orthogonal to each other.

 figure: Fig. 2.

Fig. 2. Synthesis of a symmetric and antisymmetric cavities, shown here with $N_{cav}=5$, from the same mirror strength design curve.

Download Full Size | PDF

The symmetric cavity is constructed by placing $N_{cav}$ holes on each side of the cavity, starting at $\pm \Lambda /2$ from the center of the cavity, where $\Lambda$, assumed to be constant, is the etch hole period. On the other hand, the antisymmetric cavity is constructed by placing $(N_{cav}-1)$ etch holes on each side of the cavity, in addition to a first etch hole which is placed at the center of the cavity. Comparing the location of etch holes in both cavities shown in Fig. 1(b), it is clear that the holes are shifted by $\Lambda /2$ with respect to each other or $\lambda _o/4$. The two cavities can be selected from the same design family, i.e. same hole design and radius vs. mirror strength curves. Matching mode envelopes can be designed by selecting hole mirror strengths from the same design curve, but from alternating points as determined by the hole positions described above. Note that the opposite mode symmetry in each mode will be obtained if the cavities were designed to operate in the air-mode. Moreover, the symmetry of the consecutive longitudinal modes of the cavity will alternate. Nevertheless, the device will operate in all these cases as intended since the design of the cavities guarantees the existence of modes of matching resonance frequency and envelope, but opposite total mode symmetries due to their respective spatial carriers, on the two sides of the bus waveguide.

In this configuration, the relevant modes to the operation of the device are the matching-order (e.g. both fundamental mode) resonant modes of each cavity, which are symmetric and antisymmetric due to the respective hole pattern offsets. This is in contrast to the topologies in [2131], where the relevant modes are the symmetric and antisymmetric supermodes of the coupled cavity system. Another fundamental difference is that the indirect coupling between the resonators through the bus waveguide is absent in the proposed topology. This is due to the symmetry mismatch (automatic orthogonality) between the resonant modes when the cavities are aligned horizontally. This is not the case when two cavities with the same mode symmetries are used [22], therefore, the supermodes of the system are always coupled and degeneracy between them is guaranteed to be broken due to indirect coupling. Consequently, neither a drop-port connected to any of the cavities, nor direct coupling between the cavities is required to offset the effect of indirect coupling and restore the degeneracy of the supermodes. Therefore, the proposed topology not only relaxes the requirements to emulate the through-port response of a traveling-wave resonator, but also provides reduction in power consumption, design complexity, and footprint compared to previously explored topologies.

A theoretical model based on temporal coupled-mode theory (CMT) [22] was developed to analyze the device. The energy amplitude of the symmetric, $a_s$, and antisymmetric, $a_a$, cavity modes (not system supermodes), the reflected wave $s_{-1}$, and the output wave $s_{-2}$ are given by

$$\frac{da_s}{dt} = (j \omega_s -r_{o,s}-r_{e,s})a_s-j\sqrt{r_{e,s}}(s_{{+}1}+s_{{+}2})$$
$$\frac{da_a}{dt} = (j \omega_a -r_{o,a}-r_{e,a})a_a-j\sqrt{r_{e,a}}e^{{-}j\frac{\pi}{2}}(s_{{+}1}-s_{{+}2})$$
$$s_{{-}1} = s_{{+}2} -j\sqrt{r_{e,s}} a_s -j\sqrt{r_{e,a}}e^{{-}j\frac{\pi}{2}} a_a$$
$$s_{{-}2} = s_{{+}1} -j\sqrt{r_{e,s}} a_s -j\sqrt{r_{e,a}}e^{{+}j\frac{\pi}{2}} a_a$$
where $\omega _i$, $r_{o,i}$, and $r_{e,i}$ are the resonance frequency, decay rate due to intrinsic loss within the cavity, and decay rate to the bus waveguide of the cavity/mode with $i$ mode symmetry, respectively. $s_{+1}$ is the normalized mode amplitude of the wave traveling in the bus waveguide from the input to the output, while $s_{+2}$ is the normalized mode amplitude of the incident wave traveling in the opposite direction. The relationship between the quality factor $Q_{x,i}$ and the decay rate $r_{x,i}$ for any $(x,i)$ is given by $Q_{x,i} = \omega _i/(2r_{x,i})$ and the total quality factor for each resonator is $Q^{-1}_{i}=Q^{-1}_{o,i} + Q^{-1}_{e,i}$.

At steady state, with no incident back-traveling wave ($s_{+2}=0$), and using Eqs. (1    –4) we obtain the transmission and reflection:

$$ \left.T \equiv \frac{s_{-2}}{s_{+1}}\right|_{s_{+2}=0}=1-\frac{r_{e, s}}{j\left(\omega-\omega_{s}\right)+r_{o, s}+r_{e, s}}-\frac{r_{e, a}}{j\left(\omega-\omega_{a}\right)+r_{o, a}+r_{e, a}} $$
$$ \left.R \equiv \frac{s_{-1}}{s_{+1}}\right|_{s_{+2}=0}=-\frac{r_{e, s}}{j\left(\omega-\omega_{s}\right)+r_{o, s}+r_{e, s}}+\frac{r_{e, a}}{j\left(\omega-\omega_{a}\right)+r_{o, a}+r_{e, a}} $$
we can find the conditions to eliminate reflection by setting Eq. (6) to zero. It is clear that for $R=0$ across the spectrum, both cavities must have the same resonance frequency $\omega _a=\omega _s=\omega _o$, the same intrinsic loss $r_{o,a}=r_{o,s}=r_{o}$, and the same coupling strength to the bus waveguide $r_{e,a}=r_{e,s}=r_{e}$. Under these conditions, the reflection is eliminated at all $\omega$ and the transmission given by Eq. 5) will resemble that of (and has the exact analytical form of) a traveling-wave resonator as illustrated in Figs. 3(a-c) for different coupling conditions.

 figure: Fig. 3.

Fig. 3. Transmission and reflection spectra of the proposed device, obtained by CMT model, for different coupling conditions before and after synchronizing the individual resonance frequencies of the cavities. In all cases, the cavities were set to have the same intrinsic quality factor $Q_o$ and the same external quality factor $Q_e$. (a) Under-coupled case with $Q_e$ = 10,000 and $Q_o$ = 100. (b) Critically coupled case with $Q_e$ = 100 and $Q_o$ = 100. (c) Over-coupled case with $Q_e$ = 100 and $Q_o$ = 10,000.

Download Full Size | PDF

There exists a more relaxed condition, if we want to ensure zero reflection only at the resonant frequency. In that case, we must only ensure co-resonance $\omega _a=\omega _s=\omega _o$, and a fixed loss to out-coupling ratio, $r_{o,a}/r_{e,a}=r_{o,s}/r_{e,s}=r_{o}/r_{e}$. The bandwidth over which reflection is reasonably low depends on how close the ratio $r_{e,s}/r_{e,a}$ is to 1.

The critical coupling case is of most importance for realizing active devices such as modulators and detectors. It is interesting to compare and contrast the behaviour of standing-wave resonators, evanescently coupled to the bus waveguide, and traveling-wave resonators in this regime. At the equivalent of critical coupling ($r_e = r_o$), standing-wave resonators dissipate 50% of the optical power, reflect 25% ( −6 dB), and transmit 25% ( −6 dB) as shown in Fig. 3(b). When the two cavities are tuned to the same resonance frequency, the reflection is eliminated, as indicated by the green arrow, while the forward coupled light destructively interferes with the incoming input light resulting in a zero in the transmission response. In this case, 100% of the power is dissipated by the cavities as expected from a traveling-wave resonator. Another interesting case is the over-coupled case illustrated in Fig. 3(c). Note that, as mentioned earlier, the standing-wave nature of the cavity modes causes the reflection to reach to almost 100% resulting in the cavities to act like frequency-selective mirrors.

To confirm the theoretical model, numerical simulations were performed using the 2D FDTD method for a notional case when the cavities are over-coupled to the bus waveguide. The over-coupled case is chosen because of its high reflection at resonance when the cavities are detuned which makes it an ideal test case to evaluate the ability of the proposed topology to eliminate reflection. The cavities were designed in silicon ($n_{si}=3.5$) surrounded by vacuum, following the approach outlined in [34] to operate in the dielectric mode with the resonance wavelength at 1300 nm. The cavities were constructed with $N_{cav}=11$. The resonance frequencies of the fundamental resonant modes of the symmetric and antisymmetric cavities iarres 1304.9 nm and 1307.1 nm, respectively. The corresponding intrinsic quality factors are 8,960 and 6,954, respectively. Such a discrepancy between the resonance frequencies of the cavities and the target resonance frequency is typical for finite length cavities, is deterministic, and decreases as the number of cavity holes increases [34]. The width of the PhC nanobeam waveguide was chosen to be 420 nm and the period of the etch holes was set to 250 nm. The bus waveguide width was set to 250 nm and the gap between the bus waveguide and the cavity was set to 100 nm for both cavities. This resulted in a total quality factor of 316 for the symmetric resonance mode and 313 for the antisymmetric resonance mode.

The simulated response of the device when the cavities are detuned is shown in Fig. 4(b), where the largest reflection on resonance reaches up to −2.09 dB and the largest transmission dip reaches −5.24 dB. After tuning the symmetric cavity by adding a $\delta n=4.87\times 10^{-3}$ to its waveguide core index as a means of simulating the effect of thermo-optical tuning, the reflection was reduced by 37.6 dB and the transmission was increased by 4.5 dB as shown in Fig. 4(c). The electric field is shown in Fig. 4(a) when the cavities are tuned to the same resonance frequency $\omega _o$ after injecting light from the port on the left. Note the difference in mode symmetry of the fundamental longitudinal modes between the top (symmetric) and bottom (antisymmetric) cavities. The figure clearly shows light decaying from both cavities into the bus waveguide in the forward direction only (right) due to constructive interference, while little to no light (less than −40 dB) is propagating in the backward direction (left) due to destructive interference.

 figure: Fig. 4.

Fig. 4. (a) Cross-section of the device showing the $E_y$ component of the electric field when the cavities are synchronized to the same resonance frequency. Transmission and reflection spectra of the fundamental longitudinal modes: (b) when cavities are detuned (c) when the cavities are synchronized.

Download Full Size | PDF

To verify the concept experimentally, a device was designed for and fabricated in the GlobalFoundries 45SPCLO SOI CMOS process, a new 45 nm node CMOS process optimized for silicon photonics [35]. The PhC nanobeam cavities were designed using the same approach mentioned above to operate at a resonance wavelength of 1300 nm in the dielectric mode. The waveguide width of the PhC nanobeam cavities was chosen to be 700 nm and the period of the etch holes is 304 nm in design. The etch holes were realized by fully etching a slot across the waveguide width and their mirror strength was varied by varying their duty cycle. The cavity was constructed with $N_{cav}=20$, then an additional ten etch holes with the maximum mirror strength were added on each side to act as a mirror and increase the intrinsic quality factor of the cavity. To tune the resonance wavelengths, a pair of micro-heaters for thermo-optical tuning, realized as n+ doped silicon resistors, were implemented in the silicon device layer, one for each cavity. The width of the bus waveguide was set to 410 nm and the gap between the cavities and the waveguide was chosen to be 250 nm for both cavities, in order for the cavities to be over-coupled. The complete device, with and without metal layers, is shown in Fig. 5 along with the cross-section of the device illustrating the material stack-up.

 figure: Fig. 5.

Fig. 5. (a) Device geometry as laid out on the design mask. (b) 3-D rendering of the device showing the silicon layer highlighting the n+ doped silicon resistors used as micro-heaters for thermo-optical tuning. (c) Cross section of the cavity. (d) 3-D rendering of the device with metal-filled vias contacting the silicon resistors. (e) 3-D rendering showing the top metal pads connected to the silicon resistors.

Download Full Size | PDF

The transmission and reflection of the device were measured using the setup shown in Fig. 6(a). Anti-reflection (AR) coated lensed fibers were used to couple light into the chip via vertical grating couplers in order to minimize the reflection due to fiber-air interface. To ensure accurate normalization of the results, the insertion loss from the path indicated by points AB in Fig. 6(a) was subtracted out from the reflection measurement. Similarly, the insertion loss from the path CD was removed from the transmission measurement. A wide spectrum is shown in Fig. 6(b), showing the fundamental and first-order resonance modes of both cavities in the detuned state. The high reflection is indicative of the cavities being over-coupled to the bus waveguide. The intrinsic quality factor for the fundamental mode was measured to be around 200,000 for both cavities. The free-spectral range (FSR) between the fundamental mode and the first-order mode was measured to be 11.8 nm for both cavities. This validates our use of the same “design curves”, i.e. hole design family, to generate both cavities – a sort of weak symmetry in the design.

 figure: Fig. 6.

Fig. 6. (a) Schematic of the experimental setup used to measure the transmission and reflection of the device with the tunable laser source (TLS), isolator (ISOL), polarization controller (PC), and photodetector (PD). (b) Wide spectrum of the fabricated device when the cavities are detuned. Narrow spectrum around the fundamental resonance modes in the: (c) detuned state (d) synchronized resonance frequencies state. Narrow spectrum around the first-order resonance modes in the: (e) detuned state (f) synchronized resonance frequencies state.

Download Full Size | PDF

Figure 6(c) shows the spectrum around the fundamental modes with the respective symmetries indicated, before tuning the cavities. The largest reflection peak reaches up to −1.63 dB and the largest transmission dip reaches down to −16.6 dB. The symmetric cavity was then tuned by applying 3.22 V to the micro-heater, leading to a power dissipation of 21.5 mW, in order to red-shift the symmetric mode by 1.6 nm. However, the antisymmetric mode was also red-shifted by 0.9 nm due to thermal cross-talk. In the tuned state, shown in Fig. 6(d), the transmission was increased by 12.4 dB and the largest reflection peak was reduced by about 10 dB. In a similar way, Fig. 6(f) shows the state when the first-order modes were tuned to the same resonance wavelength. This was achieved by applying 3.98 V to the micro-heater attached to the symmetric cavity, resulting in a power consumption of 29.4 mW in order to red-shift the resonance by 2.18 nm. Due to thermal cross-talk the antisymmetric cavity first-order resonance mode was also red-shifted by 1.23 nm. Compared to the detuned state shown in Fig. 6(e), the largest reflection peak was reduced by 7.2 dB and the transmission was increased by 24.4 dB. The results shown in Fig. 6(d) and 6(e) confirm the results obtained by CMT model for the over-coupled case, Fig. 3(c), and is consistent with the simulation findings summarized in Fig. 4(b-c).

We note that the device implementation demonstrated in this paper is a proof of concept, and is not optimal in terms of thermal tuning design and efficiency. The recent report of a 1D PhC nanobeam with the highest thermal tuning efficiency demonstrated to date in silicon photonics [36] is testimony to their potential for extremely efficient thermal tuning. One possibility to improving the thermal efficiency and reduce thermal cross-talk in our proposed device is to integrate the thermo-optical heater within the 1D PhC nanobeam, further optimizing a previous demonstration in [37]. The latter resulted in a thermal efficiency (0.63 nm/mW) that is better than a nominal microring resonator (0.29 nm/mW [38]) by at least a factor of two. By heating each nanobeam directly (by driving current through all or part), the temperature differential contrast between the targeted nanobeam cavity and other nanobeam cavity is maximized, thereby minimizing thermal cross-talk. This should allow practical and efficient tuning of the dual-cavity devices presented herein. Moreover, in future implementations, thermal cross-talk can be potentially eliminated, especially for small tuning ranges (fine-tuning the wavelength), by relying on p-n junctions or MOS capacitors integrated within the structure, as part of a modulator or detector. Depletion modulation of the p-n junction can provide the necessary tuning with no or minimal power consumption and no cross-talk.

In conclusion, we have proposed, numerically validated, and experimentally demonstrated a novel topology to emulate the through-port response of a traveling wave resonator using two standing-wave resonators with opposing mode symmetries. A specific approach to realize such cavities was proposed, using the same hole tapering “design space” but selecting a symmetric vs. antisymmetric hole pattern. Such cavities come out with matching resonance frequency, mode field shape, but also to a large degree similar sensitivities to dimensional errors and other common-mode properties like thermal tuning (or in the future electro-optic shift efficiencies), etc. The encouraging results obtained from demonstrating this test device in an advanced electronic-photonic CMOS process shows the potential of these structures for realizing an energy-efficient active device platform based on PhC nanobeam cavities monotonically integrated with state-of-the-art electronics.

Funding

National Science Foundation ECCS ASCENT program (2023751); Abu Dhabi National Oil Company.

Acknowledgments

We acknowledge the Abu Dhabi National Oil Company (ADNOC) for sponsoring Kenaish Al Qubaisi's PhD studies. We thank Bohan Zhang, Hayk Gevorgyan, and Imbert Wang for useful discussions and for their comments on the manuscript. We would also like to thank the GlobalFoundries 45SPCLO engineering team, and Ayar Labs, Inc. for their support in realizing these devices.

Disclosures

M.A. Popović is developing silicon photonics optical link technologies through Ayar Labs, Inc.

References

1. C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanovic, R. J. Ram, M. A. Popovic, and V. M. Stojanovic, “Single-chip microprocessor that communicates directly using light,” Nature 528(7583), 534–538 (2015). [CrossRef]  

2. S. Naffziger, K. Lepak, M. Paraschou, and M. Subramony, “2.2 AMD chiplet architecture for high-performance server and desktop products,” in 2020 IEEE International Solid-State Circuits Conference-(ISSCC), (IEEE, 2020), pp. 44–45.

3. D. Ingerly, S. Amin, L. Aryasomayajula, A. Balankutty, D. Borst, A. Chandra, K. Cheemalapati, C. Cook, R. Criss, K. Enamul, W. Gomes, D. Jones, K. Kolluru, A. Kandas, G. Kim, H. Ma, D. Pantuso, C. Petersburg, M. Phen-givoni, A. Pillai, A. Sairam, P. Shekhar, P. Sinha, P. Stover, A. Telang, and Z. Zell, “Foveros: 3D integration and the use of face-to-face chip stacking for logic devices,” in 2019 IEEE International Electron Devices Meeting (IEDM), (IEEE, 2019), pp. 19.

4. “DARPA and Intel replace electronic I/O with efficient optical signaling,” https://insidehpc.com/2020/03/ayar-labs-darpa-and-intel-replace-electronic-i-o-with-efficient-optical-signaling/.

5. “Photonics in the package for extreme scalability (PIPES), Program Manager: Dr. Gordon Keeler, HR001119S0004, DARPA,”, https://www.darpa.mil/program/photonics-in-the-package-for-extreme-scalability

6. D. A. Miller, “Attojoule optoelectronics for low-energy information processing and communications,” J. Lightwave Technol. 35(3), 346–396 (2017). [CrossRef]  

7. K. T. Settaluri, C. Lalau-Keraly, E. Yablonovitch, and V. Stojanović, “First principles optimization of opto-electronic communication links,” IEEE Trans. Circuits Syst. I 64(5), 1270–1283 (2017). [CrossRef]  

8. Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature 435(7040), 325–327 (2005). [CrossRef]  

9. E. Timurdogan, C. M. Sorace-Agaskar, J. Sun, E. S. Hosseini, A. Biberman, and M. R. Watts, “An ultralow power athermal silicon modulator,” Nat. Commun. 5(1), 4008 (2014). [CrossRef]  

10. J. M. Shainline, J. S. Orcutt, M. T. Wade, K. Nammari, B. Moss, M. Georgas, C. Sun, R. J. Ram, V. Stojanović, and M. A. Popović, “Depletion-mode carrier-plasma optical modulator in zero-change advanced CMOS,” Opt. Lett. 38(15), 2657–2659 (2013). [CrossRef]  

11. M. Wade, E. Anderson, S. Ardalan, P. Bhargava, S. Buchbinder, M. L. Davenport, J. Fini, H. Lu, C. Li, R. Meade, C. Ramamurthy, M. Rust, F. Sedgwick, V. Stojanovic, D. V. Orden, C. Zhang, C. Sun, S. Y. Shumarayev, C. O’Keeffe, T. T. Hoang, D. Kehlet, R. V. Mahajan, M. T. Guzy, A. Chan, and T. Tran, “TeraPHY: A chiplet technology for low-power, high-bandwidth in-package optical I/O,” IEEE Micro 40(2), 63–71 (2020). [CrossRef]  

12. L. Alloatti and R. J. Ram, “Resonance-enhanced waveguide-coupled silicon-germanium detector,” Appl. Phys. Lett. 108(7), 071105 (2016). [CrossRef]  

13. B. E. Little, S. T. Chu, H. A. Haus, J. Foresi, and J.-P. Laine, “Microring resonator channel dropping filters,” J. Lightwave Technol. 15(6), 998–1005 (1997). [CrossRef]  

14. Y. Li, J. Zhang, D. Huang, H. Sun, F. Fan, J. Feng, Z. Wang, and C.-Z. Ning, “Room-temperature continuous-wave lasing from monolayer molybdenum ditelluride integrated with a silicon nanobeam cavity,” Nat. Nanotechnol. 12(10), 987–992 (2017). [CrossRef]  

15. S. Buckley, M. Radulaski, J. L. Zhang, J. Petykiewicz, K. Biermann, and J. Vučković, “Multimode nanobeam cavities for nonlinear optics: high quality resonances separated by an octave,” Opt. Express 22(22), 26498–26509 (2014). [CrossRef]  

16. F. Liang, N. Clarke, P. Patel, M. Loncar, and Q. Quan, “Scalable photonic crystal chips for high sensitivity protein detection,” Opt. Express 21(26), 32306–32312 (2013). [CrossRef]  

17. J. Hendrickson, R. Soref, J. Sweet, and W. Buchwald, “Ultrasensitive silicon photonic-crystal nanobeam electro-optical modulator: design and simulation,” Opt. Express 22(3), 3271–3283 (2014). [CrossRef]  

18. K. K. Mehta, J. S. Orcutt, O. Tehar-Zahav, Z. Sternberg, R. Bafrali, R. Meade, and R. J. Ram, “High-Q CMOS-integrated photonic crystal microcavity devices,” Sci. Rep. 4(1), 4077 (2015). [CrossRef]  

19. O. Bonnaud and L. Fesquet, “Trends in nanoelectronic education from FDSOI and FinFET technologies to circuit design specifications,” in 10th European Workshop on Microelectronics Education (EWME), (IEEE, 2014), pp. 106–111.

20. L. T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, and G. Yeric, “ASAP7: A 7-nm finFET predictive process design kit,” Microelectron. J. 53, 105–115 (2016). [CrossRef]  

21. S. Fan, P. R. Villeneuve, J. D. Joannopoulos, and H. Haus, “Channel drop tunneling through localized states,” Phys. Rev. Lett. 80(5), 960–963 (1998). [CrossRef]  

22. C. Manolatou, M. Khan, S. Fan, P. R. Villeneuve, H. Haus, and J. Joannopoulos, “Coupling of modes analysis of resonant channel add-drop filters,” IEEE J. Quantum Electron. 35(9), 1322–1331 (1999). [CrossRef]  

23. C. V. Poulton, X. Zeng, M. T. Wade, and M. A. Popović, “Channel add–drop filter based on dual photonic crystal cavities in push–pull mode,” Opt. Lett. 40(18), 4206–4209 (2015). [CrossRef]  

24. H. Zhou, C. Qiu, X. Jiang, Q. Zhu, Y. He, Y. Zhang, Y. Su, and R. Soref, “Compact, submilliwatt, 2× 2 silicon thermo-optic switch based on photonic crystal nanobeam cavities,” Photonics Res. 5(2), 108–112 (2017). [CrossRef]  

25. S. Fan, P. R. Villeneuve, J. Joannopoulos, M. Khan, C. Manolatou, and H. Haus, “Theoretical analysis of channel drop tunneling processes,” Phys. Rev. B 59(24), 15882–15892 (1999). [CrossRef]  

26. S. Fan, P. R. Villeneuve, J. D. Joannopoulos, and H. A. Haus, “Channel drop filters in photonic crystals,” Opt. Express 3(1), 4–11 (1998). [CrossRef]  

27. X. Ge, Y. Shi, and S. He, “Ultra-compact channel drop filter based on photonic crystal nanobeam cavities utilizing a resonant tunneling effect,” Opt. Lett. 39(24), 6973–6976 (2014). [CrossRef]  

28. Y. Ping, H. Ting, Q. Chen, S. Ao, Q. Hui-Ye, W. Fan, J. Xiao-Qing, W. Ming-Hua, and Y. Jian-Yi, “Ultracompact, reflection-free and high-efficiency channel drop filters based on photonic crystal nanobeam cavities,” Chin. Phys. Lett. 30(3), 034210 (2013). [CrossRef]  

29. H. Ren, C. Jiang, W. Hu, M. Gao, and J. Wang, “Photonic crystal channel drop filter with a wavelength-selective reflection micro-cavity,” Opt. Express 14(6), 2446–2458 (2006). [CrossRef]  

30. M. J. Khan, “Integrated optical filters using bragg gratings and resonators,” Ph.D. thesis, Massachusetts Institute of Technology (2002).

31. H. A. Haus and Y. Lai, “Narrow-band optical channel-dropping filter,” J. Lightwave Technol. 10(1), 57–62 (1992). [CrossRef]  

32. Q. Huang, Q. Liu, and J. Xia, “Traveling wave-like fabry–perot resonator-based add-drop filters,” Opt. Lett. 42(24), 5158–5161 (2017). [CrossRef]  

33. B.-H. Ahn, J.-H. Kang, M.-K. Kim, J.-H. Song, B. Min, K.-S. Kim, and Y.-H. Lee, “One-dimensional parabolic-beam photonic crystal laser,” Opt. Express 18(6), 5654–5660 (2010). [CrossRef]  

34. Q. Quan and M. Loncar, “Deterministic design of wavelength scale, ultra-high q photonic crystal nanobeam cavities,” Opt. Express 19(19), 18529–18542 (2011). [CrossRef]  

35. M. Rakowski, C. Meagher, K. Nummy, A. Aboketaf, J. Ayala, Y. Bian, B. Harris, K. Mclean, K. McStay, A. Sahin, L. Medina, B. Peng, Z. Sowinski, A. Stricker, T. Houghton, C. Hedges, K. Giewont, A. Jacob, T. Letavic, D. Riggs, A. Yu, and J. Pellerin, “45nm CMOS-silicon photonics monolithic technology (45CLO) for next-generation, low power and high speed optical interconnects,” in Optical Fiber Communication Conference, (Optical Society of America, 2020), pp. T3H–3.

36. Y. Zhang, Y. He, Q. Zhu, X. Guo, C. Qiu, Y. Su, and R. Soref, “Single-resonance silicon nanobeam filter with an ultra-high thermo-optic tuning efficiency over a wide continuous tuning range,” Opt. Lett. 43(18), 4518–4521 (2018). [CrossRef]  

37. C. V. Poulton, X. Zeng, M. T. Wade, J. M. Shainline, and M. A. Popović, “Efficient thermally tunable linear photonic crystal cavities in a zero-change microelectronics SOI CMOS process,” in CLEO: Science and Innovations, (Optical Society of America, 2014), pp. STh3M–1.

38. F. Gan, T. Barwicz, M. Popovic, M. Dahlem, C. Holzwarth, P. Rakich, H. Smith, E. Ippen, and F. Kartner, “Maximizing the thermo-optic tuning range of silicon photonic structures,” in 2007 Photonics in Switching, (IEEE, 2007), pp. 67–68.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1.
Fig. 1. (a) Abstract representation of the proposed topology using two standing-wave resonators with opposite mode symmetry and its equivalency to a traveling-wave resonator. (b) Physical realization of the proposed concept using two PhC nanobeam cavities supporting opposite mode symmetries.
Fig. 2.
Fig. 2. Synthesis of a symmetric and antisymmetric cavities, shown here with $N_{cav}=5$ , from the same mirror strength design curve.
Fig. 3.
Fig. 3. Transmission and reflection spectra of the proposed device, obtained by CMT model, for different coupling conditions before and after synchronizing the individual resonance frequencies of the cavities. In all cases, the cavities were set to have the same intrinsic quality factor $Q_o$ and the same external quality factor $Q_e$ . (a) Under-coupled case with $Q_e$ = 10,000 and $Q_o$ = 100. (b) Critically coupled case with $Q_e$ = 100 and $Q_o$ = 100. (c) Over-coupled case with $Q_e$ = 100 and $Q_o$ = 10,000.
Fig. 4.
Fig. 4. (a) Cross-section of the device showing the $E_y$ component of the electric field when the cavities are synchronized to the same resonance frequency. Transmission and reflection spectra of the fundamental longitudinal modes: (b) when cavities are detuned (c) when the cavities are synchronized.
Fig. 5.
Fig. 5. (a) Device geometry as laid out on the design mask. (b) 3-D rendering of the device showing the silicon layer highlighting the n+ doped silicon resistors used as micro-heaters for thermo-optical tuning. (c) Cross section of the cavity. (d) 3-D rendering of the device with metal-filled vias contacting the silicon resistors. (e) 3-D rendering showing the top metal pads connected to the silicon resistors.
Fig. 6.
Fig. 6. (a) Schematic of the experimental setup used to measure the transmission and reflection of the device with the tunable laser source (TLS), isolator (ISOL), polarization controller (PC), and photodetector (PD). (b) Wide spectrum of the fabricated device when the cavities are detuned. Narrow spectrum around the fundamental resonance modes in the: (c) detuned state (d) synchronized resonance frequencies state. Narrow spectrum around the first-order resonance modes in the: (e) detuned state (f) synchronized resonance frequencies state.

Equations (6)

Equations on this page are rendered with MathJax. Learn more.

d a s d t = ( j ω s r o , s r e , s ) a s j r e , s ( s + 1 + s + 2 )
d a a d t = ( j ω a r o , a r e , a ) a a j r e , a e j π 2 ( s + 1 s + 2 )
s 1 = s + 2 j r e , s a s j r e , a e j π 2 a a
s 2 = s + 1 j r e , s a s j r e , a e + j π 2 a a
T s 2 s + 1 | s + 2 = 0 = 1 r e , s j ( ω ω s ) + r o , s + r e , s r e , a j ( ω ω a ) + r o , a + r e , a
R s 1 s + 1 | s + 2 = 0 = r e , s j ( ω ω s ) + r o , s + r e , s + r e , a j ( ω ω a ) + r o , a + r e , a
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.