Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Low-loss amorphous silicon wire waveguide for integrated photonics: effect of fabrication process and the thermal stability

Open Access Open Access

Abstract

Hydrogenated amorphous silicon (a-Si:H) wire waveguides were fabricated by plasma-enhanced chemical vapor deposition and anisotropic dry etching. With the optimized fabrication process, the propagation losses of as low as 3.2 ± 0.2 dB/cm for the TE mode and 2.3 ± 0.1 dB/cm for the TM mode were measured for the 200 nm (height) × 500 nm (width) wire waveguides at 1550 nm using the standard cutback method. The loss becomes larger at shorter wavelength (~4.4 dB/cm for TE and ~5.0 dB/cm for TM at 1520 nm) and smaller at longer wavelength (~1.9 dB/cm for TE and ~1.4 dB/cm for TM at 1620 nm). With the waveguide width shrinking from 500 nm to 300 nm, the TM mode loss keeps almost unchanged whereas the TE mode loss increases, indicating that the predominant loss contributor is the waveguide sidewall roughness, similar to the crystalline silicon waveguides. Although the a-Si:H and the upper cladding SiO2 were both deposited at 400°C, the propagation loss of the fabricated a-Si:H wire waveguides starts to increase upon furnace annealing under atmosphere at a temperature larger than 300°C: ~13–15 dB/cm after 400°C/30 min annealing and >70 dB/cm after 500°C/30 min annealing, which can be attributed to hydrogen out-diffusion. Even higher temperature (i.e., >600°C) annealing leads to the propagation loss approaching to the polycrystalline silicon counterparts (~40-50 dB/cm) due to onset of a-Si:H solid-phase crystallization.

©2010 Optical Society of America

1. Introduction

Recent advances in silicon photonics require more dense integration and/or complex devices, e.g., three-dimensional integration with several optical layers [1] or horizontal slot waveguide with multiple Si-SiO2 structures [24]. Although crystalline silicon of silicon-on-insulator substrates is superior for high-index contrast waveguiding, it does not allow flexible multilayer stacking. In contrast, non-crystalline silicon (polycrystalline or amorphous) can be easily deposited on almost any substrates using standard techniques, thereby it provides the freedom for multilayer stacking with low-cost and flexible fabrication. However, the polycrystalline silicon typically requires a high thermal budget (≥ 1000°C) for solid-phase crystallization to reduce the propagation loss of wire waveguides to ~8-10 dB/cm [5,6], which restricts the layer to be processed early in the manufacturing flow. The polycrystalline silicon in photonics is normally used as an electrically active layer owing to its mediate carrier mobility [7,8]. On the other hand, hydrogenated amorphous silicon (a-Si:H) deposited using low temperature (~100-400°C) plasma-enhanced chemical vapor deposition (PECVD) can provide much lower propagation loss [9]: for instance, ~3.46 dB/cm for the directly etched 480 nm × 220 nm wire waveguide at 1550 nm transverse electric-like (TE) mode [10], ~2.7 dB/cm for the 700 nm × 100 nm waveguide with a 10-nm-thick SiN intercladding layer at 1560 nm TE mode [11], and ~2.5 dB/cm for the 600 nm × 100 nm waveguide fabricated using a damascene process around 1550 nm TE mode [12]. For comparison, crystalline silicon wire waveguides with similar dimensions has propagation loss of 1-3 dB/cm [1315] (In Ref [15], a very low loss of 0.3 dB/cm was reported, but it needs a special fabrication process and has different dimension). It indicates that the propagation loss of a-Si-H waveguides have approached to that of crystalline Si counterparts. However, relatively large losses were also reported by some groups for a-Si:H waveguides fabricated by the similar process, for instance, ~7 dB/cm for transverse magnetic-like (TM) mode and ~14 dB/cm for TE mode in Ref [16], ~6.5 dB/cm (TE) and ~4.5 dB/cm (TM) in Ref [17], and ~7.5 dB/cm in Ref [18]. Because propagation loss is the basic property of a-Si:H waveguides, it is important to know the reason why such large difference in the reported loss data causes. The other important property of a-Si:H waveguides is their thermal stability, especially when the wafers need to be further processed after the a-Si:H waveguide formation, e.g., for the multilayer stacking applications. The thermal budget of the subsequent processes is usually limited to the backend complementary metal-oxide-semiconductor (CMOS) process of ~450°C. It was reported that a-Si:H waveguides are stable at room temperature [10] and the propagation loss increases after rapid thermal annealing at 550°C [9]. It was also argued that the a-Si:H waveguides should be stable under long-time annealing up to 400°C [10] because the a-Si:H waveguides with both the a-Si:H layer and the upper cladding SiO2 layer deposited at 400°C exhibit low propagation loss. However, our experiment shows that this is not true. Moreover, it was reported that a-Si:H waveguides have much larger loss at 1300nm than that at 1550 nm. However, the detailed wavelength dependence of a-Si:H waveguides is still lack. In this paper, a-Si:H wire waveguides with very low propagation loss were fabricated using the standard process. Various effects which may influence the propagation loss are systematically studied, including the a-Si:H deposition condition (e.g., substrate temperature and RF power), the subsequent chemical mechanical polishing (CMP) step, and the subsequent furnace annealing at a temperature ranging from 200 to 700°C. The wavelength dependence in the c-band (1520–1620 nm) of the a-Si:H wire waveguides is also presented. The possible origins of propagation loss in a-Si:H waveguides are addressed.

2. Waveguide fabrication and measurement

A 2-μm thick undoped silicate glass (USG) SiO2 was deposited at 400°C on 200 mm silicon wafers as the bottom cladding layer using PECVD, followed by a CMP step to smooth the surface. The root mean square (RMS) surface roughness of the polished USG-SiO2 is ~0.3 nm, as measured by atomic force microscope (AFM) within a 5 µm × 5 µm area. A 220-nm thick a-Si:H was deposition by PECVD over the USG-SiO2 film in an Applied MaterialsTM parallel plate reactor. Our standard a-Si:H deposition recipe is as follows: SiH4 flow 100 sccm, N2 flow 1500 sccm, substrate temperature 400°C, pressure 4.2 torr, and RF power 100 W. The deposition rate is ~1.58 nm/s. The RF power and substrate temperature were slightly tuned to investigate their effect on the propagation loss. For some wafers, a 250-nm thick a-Si:H was deposited, followed by a CMP step to remove ~30-nm thick a-Si. Then, a 50-nm thick SiO2 layer was deposited using PECVD. The waveguide structures were patterned using 248-nm deep UV lithography. The 50-nm thick SiO2 layer was dry etched using the photoresist as the mask in an Applied MaterialsTM P5000 etcher. Then, the photoresist was striped and the a-Si film was dry etched down to the bottom SiO2 in the same etcher using the 50-nm thick SiO2 as the hard mask. Using such a 2-step etching procedure, a smooth sidewall of a-Si:H waveguides can be obtained [5]. For some wafers, a standard forming gas (10%H2 + 90%N2) annealing (FGA) was carried out at 420°C for 30 min to investigate its effect. Finally, a 2-µm thick USG-SiO2 upper cladding layer was deposited at 400°C using PECVD. A deep trench dry etching of 4-µm SiO2 and ~120-µm Si near the waveguide terminals is performed to prepare the waveguide facets. After dicing, some chips were annealed in a furnace for 30 min at atmosphere. The annealing temperature ranges from 200 to 700°C.

The wire waveguides with width of 300, 400, and 500 nm and length ranging from 0.69 to 2.68 cm were measured at room temperature. Each waveguide contains 10 identical bends with a large bend radius of 20 μm (thus the bend induced loss is negligible [13]) and inverted taper structures at both facets for coupling. The light emitted from a high-precision tunable laser source (ANDO AQ4321D) whose wavelength can be tuned from 1520 nm to 1620 nm is polarized to TE or TM through a polarization controller (Agilent 8169A) and then coupled into the waveguide through a lensed polarization maintaining (PM) fiber. The light coupled out from the opposite facet of wavelength to another lensed fiber is measured by a power meter (Agilent 8163B). To obtain the TE mode, we first tune the Pol knob of the polarization controller to maximize the light (fiber to fiber, without through the waveguide), then, a polarizer with the 0-180° angle perpendicular to the holder is put between the fibers and the λ/4 and λ/2 knobs of the polarization controller are tuned to minimize (extinguish) the light. Once the TE mode is obtained, the TM mode can be obtained simply by rotating the PM fiber by 90°. The fibers and the chip under test were mounted on a semi-auto XYZ micrometer piezo-stage for precision alignment to search the maximum output power (dBm). Figure 1 shows one example of the measured light power as a function of waveguide length for 3 sets of 500-nm wide waveguides whose cross-sectional transmission electron microscopy (TEM) image is shown in Fig. 2(a) . The propagation loss (as well as the fitting error) is extracted from the linearly fitting of 7 measurement points. The chips were taken from the different locations in the wafer or from different wafers fabricated with the same process and were measured at the different input light power. The extracted propagation losses exhibit a relatively large deviation. To minimize this experimental uncertainty, the propagation losses shown below are averaged from at least 3 sets of waveguides and the standard deviation is indicated as the error bar except other indication.

 figure: Fig. 1

Fig. 1 The measured output light power as a function of waveguide length at 1550 nm for 3 sets of 220 nm × 500 nm waveguides fabricated by the same process, from which, the propagation losses are extracted by the linearly fitting. The chips were taken from the different locations in the wafer or different wafers fabricated with the same process, and were measured with different input light power.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 (a) The cross-sectional TEM image of the 220 nm × 500 nm a-Si:H wire waveguide core; (b) The TE mode profile; and (c) the TM mode profile in the waveguide, calculated using a full-vector finite difference method.

Download Full Size | PDF

3. Results and discussion

3.1 Effect of fabrication process

Table 1 summarizes the propagation losses at 1550 nm in 500-nm wide a-Si:H wire waveguides deposited with various RF powers and substrate temperatures. The a-Si:H thickness is measured by cross-sectional TEM, from which the deposition rate is calculated. The surface roughness is measured by AFM within a 5 µm × 5 µm square. Firstly, all samples exhibits low propagation loss, especially those deposited with low RF power or low substrate temperature. The demonstrated propagation loss of ~3.2 dB/cm for the TE mode and ~2.2 dB/cm for the TM mode is among the lowest propagation losses reported to date for a-Si:H wire waveguides with similar dimensions. Secondly, the samples deposited at lower RF power exhibits slightly lower TM mode loss, which may be attributed to the better hydrogen passivation for the dangling bond defects in the a-Si:H core because the reduced kinetic energy used to dissociate the SiH4 precursor increases the hydrogen content in the a-Si films for passivation [17]. Thirdly, the sample deposited at lower substrate temperature exhibits slightly lower TE and TM losses, which may be attributed to its slightly smoother surface as listed in Table 1. However, we find that even lower deposition temperature may increase the a-Si:H film surface roughness. Because our Applied MaterialsTM PECVD system is not allowed to tune the deposition parameters too much, instead, we deposited a 220-nm thick a-Si:H film on a Surface Technology SystemsTM system at 300°C, followed by the same manufacturing flow. The surface roughness is measured to be ~2.58 nm and the propagation loss is ~5.2 dB/cm. It indicates that there exists an optimized deposition condition to reach the lowest propagation loss. Because the propagation loss of our samples has already approached to the crystalline Si counterparts fabricated in our lab (~2–3 dB/cm), we regard that our deposition condition is almost the best condition. Finally, the TE mode loss of our samples is larger than the TM mode loss, in agreement to the other reports [16,17], which can be attributed to the more tightly confinement of TE mode in the a-Si:H core than that of the TM mode, as revealed in Figs. 2(b) and 2(c) which show the TE and TM mode profiles calculated using a full-vector finite difference method respectively, therefore, the TE mode is more susceptible to the absorptive a-Si:H core and the waveguide sidewall roughness.

Tables Icon

Table 1. Propagation losses at 1550 nm in 500-nm-width a-Si:H wire waveguides for four deposition conditions

Figure 3 shows the propagation loss of waveguides (deposited at 100W/400°C) as a function of waveguide width. With the waveguide width shrinking from 500 nm to 300 nm, the TM mode loss keeps almost unchanged whereas the TE mode loss increases. The other samples exhibit similar behavior. It is well known that the overall propagation loss of a-Si:H wire waveguides consists of light absorption in the a-Si:H core, light scattering at the Si/SiO2 interface, and light absorption in the surrounding SiO2 which is normally negligible. Since the light mode expands with the waveguide width shrinking from 500 nm to 300 nm, the contribution of a-Si:H core absorption decreases and the contribution of interface roughness-scattering increases. Moreover, the TE mode is more susceptible to the waveguide right/left interface roughness whereas the TM mode is more sensitive to the waveguide top/bottom interface roughness. For the TE mode, the sidewall roughness induced scattering loss dominates, thus making the overall TE loss increases with the width decreasing. For the TM mode, on the other hand, the compensation of these two effects makes the overall TM mode loss almost unchanged.

 figure: Fig. 3

Fig. 3 Propagation loss of 220-nm thick wire waveguide at 1550 nm as a function of the waveguide width for a-Si:H films without and with the CMP step. Although the CMP step reduces the a-Si:H surface roughness from ~1.86 nm to ~0.61 nm, its effect to the overall propagation loss (especially the TM mode) is destructive.

Download Full Size | PDF

Since the as-deposited a-Si-H films have a relative rough surface as indicated in Table 1, it is expected that we may improve the propagation loss simply by a CMP step to smooth the surface. A 250-nm thick a-Si:H layer was deposition at 100W/400°C, followed by a CMP step to remove ~30-nm a-Si:H. The surface roughness of the remaining ~220-nm thick a-Si:H is measured to be ~0.61 nm. The waveguides were then fabricated using the same manufacturing flow. The propagation loss of this sample is also depicted in Fig. 3. To our surprise, the TM mode loss increases from ~2.7 dB/cm to ~5.6 dB/cm by the CMP step and the TE mode loss has no improvement. It looks that the overall effect of the CMP step on the propagation loss is destructive. One possible reason is that the hydrogen passivation of Si dangling bond defects near the surface may be affected by the CMP process, namely, some Si-H bonds at the surface may be broken or new Si dangling bonds may be created. The other possible reason is that some absorptive bonds (such as Si-OH bonds) at the surface may be introduced by the CMP process. Therefore, although the surface roughness is reduced, the absorptive loss near the top Si/SiO2 interface increases. Since the TM mode is more sensitive to the waveguide top/down interface, it is influenced by the CMP step more seriously. In literature, the CMP step is optionally carried out (some with the CMP step [17] and some without the CMP step [10,11]). We suspect this may be one of the reasons for the discrepancy of the reported a-Si:H propagation loss data. On the other hand, if the CMP step is necessary, its effect on the a-Si:H waveguide TM loss should be taking into account.

3.2 Thermal stability

Some of our samples were measured again after storing for several months without any environmental control. No detectable change in the propagation loss was found, indicating that the fabricated a-Si:H waveguides are stable at room temperature, in agreement with that reported by Selvaraja et al [10]. However, the fabricated a-Si:H waveguides are not stable during the subsequent thermal treatment at a temperature larger than ~300°C although the a-Si:H layer itself and the upper cladding SiO2 layer were both deposited at 400°C. Figure 4 shows the propagation losses in the 220 nm × 500 nm a-Si:H waveguides as a function of furnace annealing temperature. The annealing time keeps 30 min and the ambient is atmosphere. The losses measured from the samples after the forming gas annealing at 420°C for 30 min are also shown. We can see that the propagation loss increases significantly after annealing at a temperature larger than 300°C. The propagation loss is ~13-15 dB/cm after 400°C/30 min annealing, >70 dB/cm (no output light can be detected) after 500°C/30 min annealing, and ~40-60 dB/cm after 700°C/30min annealing. It is well known that the Si dangling bond defects in a-Si layer can absorb light strongly and they have a very high concentration in the order of ~1021 cm−3. In the as-deposited a-Si:H film, the dangling bond defects are almost totally passivated by hydrogen, leading to the very low bulk absorption loss coefficient [19]. However, the Si-H bonds are very weak. Upon thermal annealing, the Si-H bonds may be broken and hydrogen atoms (or ions) may diffuse out the a-Si:H core, leaving the absorptive Si dangling bond defects. Although it was reported that the significant hydrogen out-diffusion occurs at a temperature larger than ~450°C [20], we suspect that the hydrogen out-diffusion may start at a lower temperature although the rate (exp(Ea/kT), where Ea is active energy, k is Boltzmann constant, and K is absolute temperature) is smaller at the lower temperature. After annealing for relatively long time, the amount of out-diffused hydrogen may already cause the propagation loss of a-Si:H wire waveguides increases substantially. Beside the temperature, the hydrogen out-diffusion also depends strongly on the gas pressure. During the upper cladding USG-SiO2 deposition, although the substrate temperature is 400°C, the dissociation of the SiH4 precursor provides hydrogen atoms or ions to passivate the newly created Si dangling bonds, as in the case of a-Si:H film deposition. Therefore, the dangling bonds in a-Si:H waveguides are still almost totally passivated after the SiO2 deposition. Moreover, we can see that the propagation loss increase after the forming gas annealing (90%N2 + 10%H2) is smaller that after the atmosphere annealing by scaling to the same temperature, which can be explained by the partially suppression of hydrogen out-diffusion due to the present of H2 pressure in the forming gas ambient. In literature, it is commonly regarded that a subsequent thermal treatment at a temperature lower than that for the a-Si:H and/or upper cladding SiO2 deposition will not influence the propagation loss. We suspect that this ignored low-temperature thermal treatment after the a-Si waveguide formation (which may exist in the same cases) may be the reason for the large propagation loss of a-Si:H waveguides reported by some groups.

 figure: Fig. 4

Fig. 4 The propagation loss of 220 nm × 500 nm a-Si:H wire waveguides (100W/400°C deposition) as a function of subsequent annealing temperature. The annealing time keeps 30 min. The initial propagation losses as well as those after the forming gas annealing (carried out before the upper cladding-SiO2 deposition) are also shown.

Download Full Size | PDF

The a-Si:H film starts to crystallize at a temperature larger than ~600°C. We can see that the propagation loss of a-Si-H waveguides after annealing at a temperature larger than 600°C approaches to that of polycrystalline silicon counterparts crystallized by the similar thermal budget [5,21]. It was argued that both the hydrogen out-diffusion and the a-Si:H solid-phase crystallization are the origin of propagation loss increase in a-Si:H waveguides upon thermal treatment [11]. Here, we clarify that the hydrogen out-diffusion is more critical. Before onset of the solid-phase crystallization, the hydrogen out-diffusion has already made the a-Si:H waveguide almost opaque. In this sense, the subsequent crystallization at even higher thermal treatment will reduce the propagation loss, as in the case of polycrystalline silicon waveguides.

3.3 Wavelength dependence

Figure 5 shows the propagation loss of a-Si:H waveguides as a function of wavelength ranging from 1520 nm to 1620 nm. We set the tunable laser to a certain wavelength and the TE and TM mode losses of the 209 nm × 500 nm waveguides (S1: deposited at 80W/400°C) were measured at each wavelength. Here, only one set of waveguides (there are 7 waveguides with different lengths) were measured and the linearly fitting error is indicated as the error bar. We can see that both the TE and TM mode losses (indicated by the solid and open squares in Fig. 5, respectively) becomes larger at shorter wavelength (~4.4 dB/cm for TE and ~5.0 dB/cm for TM at 1520 nm) and becomes smaller at longer wavelength (~1.9 dB/cm for TE and ~1.4 dB/cm for TM at 1620 nm). The 220 nm × 500 nm waveguides (S2: deposited at 100W/400°C) were also measured using an Expo broadband laser source as the input light (un-polarized) and the output light was scanned by an AQ6317B optical spectrum analyzer with a step of 0.1 nm. The inset of Fig. 5 shows the measured spectrums for the reference (i.e., fiber-to-fiber without through the waveguide), for the 0.7-cm, 1.45-cm, and 2.68-cm long waveguides, respectively, from which the propagation loss is extracted, as depicted by the blue line in Fig. 5. The propagation losses of this sample measured from the tunable laser (un-polarized light) are also shown (red triangle), which are dropped on the blue line roughly. We can see that the propagation loss increases almost monotonically with the wavelength decreasing from 1620 to 1520 nm except the measurement-induced small oscillations. The reason for the larger loss at shorter wavelength may be simply attributed to the larger absorption coefficient of a-Si:H at the shorter wavelength [19].

 figure: Fig. 5

Fig. 5 The TE and TM mode losses of 209 nm × 500 nm waveguides (S1: 80W/400°C deposition) as a function of wavelength ranging from 1520 nm to 1620 nm. The propagation losses (for un-polarized light) of 220 nm × 500 nm waveguides (S2: 100W/400°C deposition) measured from the tunable laser and from the Exfo laser source are also shown.

Download Full Size | PDF

4. Conclusion

We studied the propagation loss in a-Si:H wire waveguides fabricated by the standard process. Some new insights about the propagation loss of a-Si:H wire waveguides are reported. Firstly, a very low loss of 3.2 ± 0.1 dB/cm (TE) and 2.3 ± 0.1 dB/cm (TM) at 1550 nm was demonstrated using the standard PECVD deposition and dry etching process, which has approached to the crystalline silicon counterparts with the same dimensions fabricated in our lab. Secondly, we find that although a CMP step can smooth the as-deposited a-Si:H surface, its effect to the overall propagation loss is destructive, most probably because of some new absorptive defects created near the a-Si-H surface by the CMP step. Thirdly, we find that the subsequent low-temperature thermal treatment (~300°C, lower than that for the a-Si:H and/or the upper cladding SiO2 deposition) after the a-Si:H waveguide formation can cause the propagation loss increase substantially due to the hydrogen out-diffusion. Finally, we show that the propagation loss of a-Si:H waveguides have a relatively large wavelength dependence in the c-band.

References and links

1. P. Koonath and B. Jalali, “Multilayer 3-D photonics in silicon,” Opt. Express 15(20), 12686–12691 (2007). [CrossRef]   [PubMed]  

2. R. Sun, P. Dong, N. N. Feng, C. Y. Hong, J. Michel, M. Lipson, and L. Kimerling, “Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm,” Opt. Express 15(26), 17967–17972 (2007). [CrossRef]   [PubMed]  

3. B. Redding, S. Shi, and D. W. Prather, “Electromagnetic analysis of ring-cavity-assisted amplified spontaneous emission in Er:SiO2/a-Si horizontal slot waveguides,” IEEE J. Quantum Electron. 45(7), 825–829 (2009). [CrossRef]  

4. A. Martínez, J. Blasco, P. Sanchis, J. V. Galán, J. García-Rupérez, E. Jordana, P. Gautier, Y. Lebour, S. Hernández, R. Guider, N. Daldosso, B. Garrido, J. M. Fedeli, L. Pavesi, J. Martí, and R. Spano, “Ultrafast all-optical switching in a silicon-nanocrystal-based silicon slot waveguide at telecom wavelengths,” Nano Lett. 10(4), 1506–1511 (2010). [CrossRef]   [PubMed]  

5. S. Y. Zhu, Q. Fang, M. B. Yu, G. Q. Lo, and D. L. Kwong, “Propagation losses in undoped and n-doped polycrystalline silicon wire waveguides,” Opt. Express 17(23), 20891–20899 (2009). [CrossRef]   [PubMed]  

6. S. Y. Zhu, G. Q. Lo, J. D. Ye, and D. L. Kwong, “Influence of RTA and LTA on the optical propagation loss in polycrystalline silicon wire waveguides,” IEEE Photon. Technol. Lett. 22(7), 480–482 (2010). [CrossRef]  

7. K. Preston and M. Lipson, “Slot waveguides with polycrystalline silicon for electrical injection,” Opt. Express 17(3), 1527–1534 (2009). [CrossRef]   [PubMed]  

8. K. Preston, S. Manipatruni, A. Gondarenko, C. B. Poitras, and M. Lipson, “Deposited silicon high-speed integrated electro-optic modulator,” Opt. Express 17(7), 5118–5124 (2009). [CrossRef]   [PubMed]  

9. A. Harke, M. Krause, and J. Mueller, “Low-loss single mode amorphous silicon waveguides,” Electron. Lett. 41(25), 1377–1379 (2005). [CrossRef]  

10. S. K. Selvaraja, E. Sleeckx, M. Schaekers, W. Bogaerts, D. V. Thourhout, P. Dumon, and R. Baets, “Low-loss amorphous silicon-on-insulator technology for photonic integrated circuitry,” Opt. Commun. 282(9), 1767–1770 (2009). [CrossRef]  

11. R. Sun, K. McComber, J. Cheng, D. K. Sparacin, M. Beals, J. Michel, and L. C. Kimerling, “Transparent amorphous silicon channel waveguides with silicon nitride intercladding layer,” Appl. Phys. Lett. 94(14), 141108 (2009). [CrossRef]  

12. R. Sun, J. Cheng, J. Michel, and L. Kimerling, “Transparent amorphous silicon channel waveguides and high-Q resonators using a damascene process,” Opt. Lett. 34(15), 2378–2380 (2009). [CrossRef]   [PubMed]  

13. Y. A. Vlasov and S. J. McNab, “Losses in single-mode silicon-on-insulator strip waveguides and bends,” Opt. Express 12(8), 1622–1631 (2004). [CrossRef]   [PubMed]  

14. M. Gnan, S. Thoms, D. S. Macintyre, R. M. De La Rue, and M. Sorel, “Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist,” Electron. Lett. 44(2), 115–116 (2008). [CrossRef]  

15. J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides,” Opt. Express 17(6), 4752–4757 (2009). [CrossRef]   [PubMed]  

16. Y. Shoji, T. Ogasawara, T. Kamei, Y. Sakakibara, S. Suda, K. Kintaka, H. Kawashima, M. Okano, T. Hasama, H. Ishikawa, and M. Mori, “Ultrafast nonlinear effects in hydrogenated amorphous silicon wire waveguide,” Opt. Express 18(6), 5668–5673 (2010). [CrossRef]   [PubMed]  

17. D. K. Sparacin, R. Sun, A. M. Agarwal, M. A. Beals, J. Michel, L. C. Kimerling, T. J. Conway, A. T. Pomerene, D. N. Carothers, M. J. Grove, D. M. Gill, M. S. Rasras, S. S. Patel, and A. E. White, “Low loss amorphous silicon channel waveguides for integrated photonics,” 3rd IEEE Intern. Conf. on Group IV Photonics, 255–257 (2006).

18. T. Lipka, A. Harke, O. Horn, J. Amthor, and J. Muller, “Amorphous waveguides for high index photonic circuitry,” in Optical Fiber Communication Conference, OSA Technical Digest (CD) (Optical Society of America, 2009), paper OMJ2.

19. M. Zeman, “Advanced amorphous silicon solar cell technologies,” in Thin Film Solar Cells Fabrication, Characterization and Applications, J. Poortmans and V. Arkhipov, eds., (John Wiley & Sons, 2006).

20. P. K. Lim and W. K. Tam, “Local vibrational modes and the optical absorption tail of amorphous silicon,” Int. J. Mod. Phys. B 20(25 & 27), 4261–4266 (2006). [CrossRef]  

21. L. Liao, D. R. Lim, A. M. Agarwal, X. Duan, K. K. Lee, and L. C. Kimerling, “Optical transmission losses in polycrystalline silicon strip waveguides: effects of waveguide dimensions, thermal treatment, hydrogen passivation, and wavelength,” J. Electron. Mater. 29(12), 1380–1386 (2000). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1
Fig. 1 The measured output light power as a function of waveguide length at 1550 nm for 3 sets of 220 nm × 500 nm waveguides fabricated by the same process, from which, the propagation losses are extracted by the linearly fitting. The chips were taken from the different locations in the wafer or different wafers fabricated with the same process, and were measured with different input light power.
Fig. 2
Fig. 2 (a) The cross-sectional TEM image of the 220 nm × 500 nm a-Si:H wire waveguide core; (b) The TE mode profile; and (c) the TM mode profile in the waveguide, calculated using a full-vector finite difference method.
Fig. 3
Fig. 3 Propagation loss of 220-nm thick wire waveguide at 1550 nm as a function of the waveguide width for a-Si:H films without and with the CMP step. Although the CMP step reduces the a-Si:H surface roughness from ~1.86 nm to ~0.61 nm, its effect to the overall propagation loss (especially the TM mode) is destructive.
Fig. 4
Fig. 4 The propagation loss of 220 nm × 500 nm a-Si:H wire waveguides (100W/400°C deposition) as a function of subsequent annealing temperature. The annealing time keeps 30 min. The initial propagation losses as well as those after the forming gas annealing (carried out before the upper cladding-SiO2 deposition) are also shown.
Fig. 5
Fig. 5 The TE and TM mode losses of 209 nm × 500 nm waveguides (S1: 80W/400°C deposition) as a function of wavelength ranging from 1520 nm to 1620 nm. The propagation losses (for un-polarized light) of 220 nm × 500 nm waveguides (S2: 100W/400°C deposition) measured from the tunable laser and from the Exfo laser source are also shown.

Tables (1)

Tables Icon

Table 1 Propagation losses at 1550 nm in 500-nm-width a-Si:H wire waveguides for four deposition conditions

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.