Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Study of the pedestal process for reducing sidewall scattering in photonic waveguides

Open Access Open Access

Abstract

In this work we investigate the principles of an alternative method for defining sidewall in optical waveguides fabricated using planar technology. The efficiency of this method is demonstrated through simulations and experimental results regarding propagation losses of a solid core ARROW waveguide fabricated on silicon substrate. It is well known that waveguides fabricated using sidewalls etched via Reactive Ion Etching (RIE) can present high sidewall roughness, especially if metallic hard-masks are used. This is largely responsible for the undesirable losses observed in these waveguides. The basic strategy of the proposed method is to do the etching step, in the fabrication of the waveguides, before the deposition of the core, so as to have the lower cladding layer and part of the silicon substrate etched away. Only after this, is the core of the waveguide deposited. This results in a waveguide sustained by a silicon pedestal. With this process, losses as low as 0.45 dB cm−1 for multimode and 0.84 dB cm−1 for single mode waveguides are obtained. The numerical simulations demonstrate that roughness in sidewalls implicates in propagation losses which are at least five times larger that those in the bulk of the material, thus corroborating the idea behind the proposed method.

© 2017 Optical Society of America

1. Introduction

Optical waveguides are among the basic building blocks of integrated photonics components. Low loss waveguides are important in different areas of applications. In interferometric sensors, for example, where long waveguides are required [1], having low loss is important to guarantee that the output power of the sensor is high enough so as not to be affected by noise. Devices such as ring resonators or other types of cavities, used in nonlinear optics applications [2, 3], modulators [4] and many others, require high quality factors achieved by reducing the overall propagation losses.

In waveguides fabricated with planar technology the main source of propagation loss is scattering in the etched sidewalls due to transfer of roughness of the masking material during the etching process. This problem is so evident that many solutions have been proposed such as resist reflow and using alternative processes where the waveguides sidewalls are defined in such a way that the light propagating through the waveguides core is not directly in contact with any etched surfaces. In [5] for example, Q factors as high as 5 million were achieved in microdisk resonators by using resist reflow technique. Shallow rib waveguides are an alternative for reducing the interaction of light with etched surfaces, but result in largely delocalized modes, which cannot be used in devices where there are curves with small bending radii.

Another elegant and effective solution is the etchless process, where a mask of lower index material is defined over the core of the waveguide and the sidewalls of the waveguides are defined by thermal oxidation. In this process, although the waveguide sidewalls end up not being perfectly vertical, which is not detrimental to the guiding characteristics, much smaller losses are achieved. In [6], losses as low as 0.3 dB cm−1 are achieved at 1.55 μm wavelength. With very similar processes, ring resonators with quality factors as high as many hundreds of thousands were demonstrated [7, 8]. Unfortunately the etchless process can only be used for materials that can be thermally oxidized.

Here we demonstrate, both experimentally and theoretically, a method to define the waveguide sidewalls where pedestals are defined on the lower cladding. The core is deposited after the definition of the pedestal, which results in rounded sidewalls. ARROW waveguides are used to test this concept, since they are usually fabricated with etched sidewalls as tall as 3μm, which are prone to having high scattering losses. Since the light propagating in the core does not interact with etched sidewalls, lower propagation losses can be achieved when compared to conventional rib ARROW waveguides fabricated with similar processing steps. It is worth mentioning that although we have used the proposed method in the fabrication of ARROWs (Anti-Resonant Reflecting Optical Waveguides), it can be used in Total Internal Reflection based waveguides by etching the pedestal on the lower cladding layer and depositing a core that has a refractive index which is higher than the lower cladding.

This process could be particularly interesting for enabling the fabrication of waveguides in which the core is doped with metals, or composed of refractory materials that are difficult to etch using conventional microelectronic processes. One particular example could be waveguides in which the cores are doped with transition metals and rare earth elements. These waveguides have been shown to have great potential for non-linear optics (parametric amplification, wavelength conversion) among other applications, but due to the inert nature of these elements they are hard to etch with conventional Reactive Ion Etching (RIE) techniques. Also, the etching of these materials usually results in overly rough surfaces. The pedestal process can be a promising alternative for these cases.

To the best of our knowledge, pedestal waveguides fabricated with solid core in the manner we are doing here has not been done before. In fact, a very interesting application for the ARROW concept is the hollow core and liquid core ARROWs [9,10] which has opened a broad range of possibilities related the development of optofluidic devices and sensors, since low refractive index materials, such as liquids and gases can be used as the core in these waveguides. More recently a novel configuration of these waveguides has been proposed in which the hollow core ARROWs are fabricated over self-aligned pedestals (SAP) [11], resulting in a minimization of the waveguides propagation losses. Nonetheless, as stated, this concept has not been applied to solid core waveguides.

2. Waveguide fabrication procedure

The fabrication of the pedestal waveguide involves the same exact techniques and procedures as a regular etched core integrated Photonic waveguide. In fact, the only basic difference is an inversion in the order of fabrication steps: the etching for the definition of the sidewalls is done before the deposition of the core layer and not after. This means that the lower cladding is etched, rather than the core.

For the particular case of ARROWs [12] the anti-resonant layer, which functions as a Fabry-Perot resonator and is responsible for confining light in the vertical direction, is deposited before the deposition of the core. Virtual single mode operation, fabrication tolerances among other characteristics have made ARROWs an interesting option for the fabrication of different devices, such as optical polarizers, lasers and optical sensors [13–15].

The first step in the fabrication process is the thermal growth on a silicon substrate of a 2 μm thick silicon oxide film with n = 1.46. Thermal oxide is used instead of PECVD or LPCVD because it leads to smaller absorption losses. The pedestals is defined by RIE using chromium as hardmask, due to its resistance to CHF3 and O2 plasma [16]. The chromium film is deposited by RF magnetron sputtering technique with 70 W of RF power. After this, a hardmask is defined using optical contact lithography. The pattern is transfered to the chromium by wet etching in ceric ammonium nitrate solution.

The RIE etched thermal SiO2 on top of the silicon substrate is illustrated in Fig. 1(a). This etching is performed with CHF3 and O2 gases, with 100 W of RF power. This step is followed by the removal of the chromium mask. The waveguides were fabricated with pedestal heights of 3, 4 and 5 μm and widths from 2 up to 20 μm with steps of 1 μm.

 figure: Fig. 1

Fig. 1 Illustration of the fabrication process: pedestal definition through RIE with chromium hardmask (a) and deposition of the first ARROW layer and the core (b).

Download Full Size | PDF

After the definition of the pedestal, the first ARROW layer is deposited. For the ARROW layer, a 93 nm thick TiO2 film (n=2.454) is used. This film is deposited by RF reactive magnetron sputtering. The last step is the deposition of the 4 μm thick core layer, using PECVD silicon oxide film with the same refractive index as that of the lower cladding layer. The core is deposited in a 13.56 MHz RF PECVD capacitively coupled system [17], using silane (SiH4) and nitrous oxide (N2O) as precursors (Fig. 1(b)). To make the losses even smaller and protect the waveguide core which is required in most applications, an upper cladding layer is deposited on top of the core.

We would like to point out that the fabrication techniques used are limited by the processes available in our facility. In fact, we are certain that by using techniques that are more appropriate for fabricating low loss waveguides, the losses obtained here could be diminished significantly. Improvements that could be done are using LPCVD materials for the core instead of PECVD, specially if TIR based waveguides are fabricated and using a hardmask that leads to smaller sidewall roughness, such as photoresist or other non-metallic materials. The reason we were not able to do the latter is because the plasma in our facility is too aggressive and the resist would not have enough selectivity. In order to have a fair comparison and assert the relative improvement implicated by this process, we have compared the losses obtained with pedestal waveguide, to losses with regular ARROWs fabricated with the exact same techniques in our facility.

3. Numerical modeling of propagation losses

The reason for using the pedestal is basically to make a waveguide where the interaction of light propagating in the core with etched interfaces is minimized. To understand the influence of sidewall losses in the overall propagation losses, we have used mode analysis to model different kinds of losses present in the waveguide.

The main contributors to losses in a straight section of waveguide are: absorption inside the materials, scattering losses due to fluctuations in material density and scattering at the interfaces. In waveguide, the latter is very important, specially when the materials are good dielectrics. The study was realized through simulations using the Finite Differences Method (FDM). The simulations allowed us to calculate the complex effective refractive index of the modes supported by each one of the fabricated pedestal waveguides. Figure 2 shows a waveguide cross section model representation of the simulated structures. The geometric parameters were taken from micrographs of the pedestal waveguides (Fig. 3).

 figure: Fig. 2

Fig. 2 Waveguide cross section model used in the FDM simulations (a) and mode profiles for the two lowest order modes ((b) and (c)).

Download Full Size | PDF

 figure: Fig. 3

Fig. 3 SEM micrographs of pedestal ARROWs with h = 3 μm (a) and h = 4 μm (b) and mode profiles for pedestal waveguides with widths of 6 μm (c) and 10 μm (d).

Download Full Size | PDF

In order to model the scattering losses due to sidewall roughness a lossy surface with complex refractive index nC1 was introduced on each side of the core (Abs. Layer 1) [18]. To account for absorption and scattering from density fluctuations another absorbing area in the top of the waveguide core was defined (Abs. Layer 2) with complex refractive index nC2. In the simulations, three values of pedestal height (h) were used: 3, 4 and 5 μm. The pedestal width was varied between 2 and 20 μm in steps of 1 μm. The core height was 4 μm and the thicknesses of the first and second ARROW layers (hA1 and hA2) were 93 nm and 2 μm, respectively. The thickness of the TiO2 layer on the sidewall of the pedestal 25% the thickness of the film on top of the pedestal. This is accurate with the actual structure which was fabricated due to the poor step coverage resulting from sputter deposition. The core side width and core side height (wCS and hCS) were dependent upon the core widht and height and were modeled accordingly by using SEM micrographs to estimate their exact dimensions. The thickness of all absorption layers (in green and light blue in Fig. 2) was set to 20 nm.

The simulations were done using the FIMMWAVE software package to iteratively find the value of the imaginary part of the refractive indices of the absorption layers which results in attenuation coefficients that matches the ones measured experimentally. Firstly, the attenuation coefficient measured from the 20 μm width waveguide was used to adjust the value of nC2. In this waveguide, which has the largest width among the fabricated waveguides, due to its aspect ratio, the electric field of the fundamental mode interacting with the core sidewall roughness is very weak and so, losses are mainly due to absorption and scattering from material imperfections.

Lastly, the value of the complex refractive index of the sidewall absorpion layer nC1 was found using the attenuation coefficient of the 5 μm wide waveguide as reference. This process was repeated for each pedestal height and so, all the other waveguides were simulated. By varying the imaginary part of the refractive index of the layers that represent scattering at interfaces and bulk losses, we were able to match the behavior of propagation losses as a function of pedestal width quite reasonably. The final values of the complex refractive indices of Absorption Layer 1 and Absorption Layer 2 are nC1 = 1.46+ j0.0252 and nC2 = 1.46+ j0.0050, respectively. Figures 2(b) and 2(c) show the intensities for the two lowest order propagating modes. Notice that the largest fraction of the power is inside the core.

4. Results and discussion

The waveguides were characterized in terms of their overall geometry by SEM micrographs. With respect to their guiding characteristics, mode behavior and propagation losses were measured at the wavelength of 632.8 nm using a He-Ne laser. By testing the propagation losses as a function of waveguide width, we were able to infer the fraction of these losses that are due to scattering in the sidewall and the fraction that is due to absorption. For the loss characterization, the top-view technique [17] was used. In this technique a microscope and a charge-coupled device (CCD) camera are positioned above the waveguide, in order to capture the scattered light intensity profile along the length of the waveguides. The optical losses are calculated from the slope of the measured curve of light intensity as a function of propagating distance.

Figures 3(a) and 3(b) are micrographs of pedestal waveguides with height of 3 and 4 μm, respectively. The RMS roughness of the pedestal sidewalls, measured by using top view SEM micrographs and image analysis, was at least seven times smaller when compared to the sidewall of a regular rib ARROWs fabricated in previous works (RMS ∼ 70nm) [16]. The reproducibility of the core width and its shape was checked experimentally by comparing samples fabricated with the same pedestal height and core thickness, which had negligible differences between each other. Changing pedestal height and core thickness could have some effect on the lateral dimensions and field confinement. The influence of these parameters on leakage losses and lateral dimensions will be studied in future works.

Modal distribution measurements for the pedestal ARROWs with widths ranging from 3 to 12 μm were achieved using a microscope objective and a CCD camera. The 3D surface plot of the output light intensity distribution (obtained with the help of image editing software) for optical waveguides with widths of 6 and 10 μm are shown in Figs. 3(c) and 3(d), respectively. Waveguides with widths equal to or narrower than 6 μm have only the fundamental mode. However, in the optical waveguides with 7 to 10 μm in width, it was possible to observe the q-TE11 and q-TE21 modes [19].

The optical losses measured in dB cm−1, as well as losses calculated in simulations, are plotted as a function of waveguide width, for the pedestal ARROW with height of 5, 4 and 3 μm, in Fig. 4. The minimum optical loss obtained was 0.45 dB cm−1, corresponding to a 9 μm waveguide supporting two modes, which is half the losses obtained with regular ARROWs fabricated in previous works [16].The minimum loss obtained for a single-mode waveguide was 0.84 dB cm−1. It is important to mention that the contribution of Absorption Layers 1 and 2 to the simulated losses shown in Fig. 4 are very different. The imaginary part of nC1 is 5 times larger than that of nC2. This indicates that the scattering losses on the sidewalls are larger than losses due to material imperfections and absorption, and the losses due to scattering on the sidewalls predominate. The use of pedestals is a good alternative for diminishing these losses. If the waveguide’s sidewalls were etched, the losses due to scattering would be even greater.

 figure: Fig. 4

Fig. 4 Simulated and experimentaly measured propagation losses for waveguides with pedestal height of 3, 4 and 5 μm and widths ranging from 2 to 20 μm.

Download Full Size | PDF

5. Conclusion

We have demonstrated a new concept for low loss waveguiding using pedestals. Propagation losses as low as 0.45 dB cm−1 and 0.84 dB cm−1 were obtained for multimode and single mode waveguides, respectively. These losses are two times lower than the ones obtained with regular ARROW waveguides. The pedestal height studies indicate an optimal value at around 3 μm, for a 4 μm thick core ARROW. The technique proposed here is specially useful for the fabrication of waveguides in which the core material is difficult to etch due to its composition. The experimental results regarding propagation losses were limited due to the fabrication capabilities of our facility, and that we are not claiming to have the lowest possible absolute value of propagation losses. With better fabrication techniques these losses could be improved even further but the relative improvement in losses, with respect to non-pedestal type waveguides fabricated using the exact same techniques, demonstrates the fundamental advantage of the pedestal process.

Funding

Fundação de Amparo à Pesquisa do Estado de São Paulo (FAPESP) (2007/0860-9, 2007/00775-1) and Conselho Nac. de Des. Cient. e Tecnol. (CNPq) (477214/2007-0, 307349/2014-4).

References and links

1. P. Dumais, C. L. Callender, J. P. Noad, and C. Ledderhof, “Integrated optical sensor using a liquid-core waveguide in a Mach-Zehnder Interferometer,” Opt. Express 16, 18164–18172 (2008). [CrossRef]   [PubMed]  

2. Y. Okawachi, A. Gaeta, and M. Lipson, “Breakthroughs in Nonlinear Silicon Photonics 2011,” IEEE Photon. J. 4, 601–606 (2012). [CrossRef]  

3. A. Griffith, R. Lau, J. Cardenaz, Y. Okawachi, A. Mohanty, R. Fain, Y. Lee, M. Yu, C. Phare, C.B. Poitras, A.L. Gaeta, and M. Lipson, “Silicon-chip mid-infrared frequency comb generation,” Nat. Commun. 6, 1–5 (2014).

4. Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature 435, 325–327 (2005). [CrossRef]   [PubMed]  

5. M. Borselli, T. J. Johnson, and O. Painter, “Beyond the Rayleigh scattering limit in high-q silicon microdisks: theory and experiment,” Opt. Express 13, 1515–1530 (2005). [CrossRef]   [PubMed]  

6. J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides,” Opt. Express 17, 4752–4757 (2009). [CrossRef]   [PubMed]  

7. L. W. Luo, G. S. Wiederhecker, J. Cardenas, C. Poitras, and M. Lipson, “High quality factor etchless silicon photonic ring resonators,” Opt. Express 19, 6284–6289 (2011). [CrossRef]   [PubMed]  

8. A. Griffith, J. Cardenas, C.B. Poitras, and M. Lipson, “High quality factor and high confinement silicon resonators using etchless process,” Opt. Express 20, 21341–21345 (2012). [CrossRef]   [PubMed]  

9. D. Yin, H. Schmidt, J.P. Barber, and A.R. Hawkins, “Integrated ARROW waveguides with hollow cores,” Opt. Express 12, 2710–2715 (2004). [CrossRef]   [PubMed]  

10. D. Yin, H. Schmidt, J. P. Barber, E. J. Lunt, and A. R. Hawkins, “Optical characterization of arch-shaped ARROW waveguides with liquid cores,” Opt. Express 13, 10564–10570 (2005). [CrossRef]   [PubMed]  

11. E. J. Lunt, B. Wu, J. M. Keeley, P. Measor, H. Schmidt, and A. R. Hawkins, “Hollow ARROW Waveguides on Self-Aligned Pedestals for Improved Geometry and Transmission,” IEEE Photon. Tech. L. 22, 1147–1149 (2010). [CrossRef]  

12. M. Duguay, Y. Kokubun, T. Koch, and L. Pfeiffer, “Antiresonant reflecting optical waveguides in SiO2-Si multilayer structures,” Appl. Phys. Lett. 49, 13–15 (1986). [CrossRef]  

13. Y. Kokubun and S. Asakawa, “ARROW-type polarizer utilizing form birefringence in multilayer first cladding,” IEEE Photon. Tech. L. 5, 1418–1420 (1993). [CrossRef]  

14. T.W. Lee, S.C. Hagness, D. Zhou, and L.J. Mawst, “Modal characteristics of ARROW-type vertical-cavity surface-emitting lasers,” IEEE Photon. Tech. L. 13, 770–772 (2001). [CrossRef]  

15. F. Prieto, L. M. Lechuga, A. Calle, A. Llobera, and C. Domínguez, “Optimised Silicon Antiresonant Reflecting Optical Waveguides for Sensing Applications,” J. Lightwave Technol. 19, 75–83 (2001). [CrossRef]  

16. D. O. Carvalho and M. I. Alayo, “a-SiC:H anti-resonant layer ARROW waveguides,” J. Opt. A - Pure Appl. Op. 10, 104002 (2008). [CrossRef]  

17. N. Daldosso, M. Melchiorri, F. Riboli, M. Girardini, G. Pucker, M. Crivellari, P. Bellutti, A. Lui, and L. Pavesi, “Comparison Among Various Si3N4 Waveguide Geometries Grown Within a CMOS Fabrication Pilot Line,” J. Lightwave Technol. 22, 1734 (2004). [CrossRef]  

18. S. M. Lindecrantz and O. G. Hellesø, “Estimation of Propagation Losses for Narrow Strip and Rib Waveguides,” IEEE Photon. Tech. L. 26, 1836–1839 (2014). [CrossRef]  

19. H. P. Uranus, H. J. W. M. Hoekstra, and O. E. van Groesen, “Considerations on material composition for low-loss hollow-core integrated optical waveguides,” Opt. Commun. 260, 577–582 (2006). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (4)

Fig. 1
Fig. 1 Illustration of the fabrication process: pedestal definition through RIE with chromium hardmask (a) and deposition of the first ARROW layer and the core (b).
Fig. 2
Fig. 2 Waveguide cross section model used in the FDM simulations (a) and mode profiles for the two lowest order modes ((b) and (c)).
Fig. 3
Fig. 3 SEM micrographs of pedestal ARROWs with h = 3 μm (a) and h = 4 μm (b) and mode profiles for pedestal waveguides with widths of 6 μm (c) and 10 μm (d).
Fig. 4
Fig. 4 Simulated and experimentaly measured propagation losses for waveguides with pedestal height of 3, 4 and 5 μm and widths ranging from 2 to 20 μm.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.