Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Investigation and process control of the grating fill-factor and sidewall angle impacts on 2-D metamaterial infrared mirrors

Open Access Open Access

Abstract

We report the first study of the effects of grating fill-factor variation and sidewall angle on 2-D subwavelength grating shortwave infrared mirrors, and the first development of a geometry compensation approach to correct for the grating fill-factor patterning error caused by EBL proximity effect and a plasma etching process based on CHF3 passivation to control grating sidewall angle. Mirrors with a large grating air-hole diameter-to-pitch ratio of 0.954 and vertical sidewall angle of 89.8° are demonstrated with an average reflectivity of 99% over an ultrabroad wavelength range of 560 nm (1.92-2.48 µm), which represents an unprecedented fractional bandwidth of 26%.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

MEMS based tunable Fabry-Perot filters are the key wavelength selective components for small-footprint infrared microspectrometers. MEMS Fabry-Perot filters consist of two micromachined mirrors configured in opposition to form an optical cavity. In order for MEMS Fabry-Perot filters to achieve high spectral resolution, whilst also operating over a wide wavelength range, the mirrors are required to possess broadband high reflectivity whilst also maintaining a high degree of structural flatness. Thin-film multilayer distributed Bragg reflectors (DBRs) are the common form of optical mirrors in the reported MEMS tunable Fabry-Perot filters [18]. Any flatness error in the top suspended DBR caused by the mechanical stress mismatch of different reflector materials can broaden the spectral linewidth of the filters. In practice, complicated stress management techniques need to be implemented to minimize the stress induced mirror deformation, and this significantly increases process complexity and makes filter fabrication extremely challenging, in particular when higher reflectivity DBRs with a larger number of material layers are applied to realize higher filter spectral resolution for more demanding spectroscopic applications.

Recently, a new class of mirrors based on subwavelength grating (SWG) structures have been proposed as a substitute for multilayer DBRs. SWG mirrors consist of grating structures whose period is smaller than the incident wavelengths, and the physics behind SWG mirrors is the guided mode resonance already described in the literature [913]. The advantage of SWG mirrors is that they can produce broadband high reflectivity using only a single material layer and, therefore, do not suffer stress-mismatch induced deformation problems.

One-dimensional (1-D) SWG mirrors have been reported [1417], but their mirror reflectivity shows a strong dependence on light polarization. In comparison, two-dimensional (2-D) SWG mirrors [1830] are polarization-independent due to their structural 90° rotational symmetry, making them suitable for application in Fabry-Perot filters. It is evident in Table 1 that, although the reported works have made great progress in developing 2-D SWG mirrors, they achieved only either a moderate reflectivity of slightly above 90% or higher than 99% reflectivity across a narrow fractional bandwidth of less than 15% (Δλ/λc, where Δλ and λc represent absolute bandwidth and central wavelength, respectively). Because the reflectivity spectrum of subwavelength grating is scalable with wavelengths by simply changing the grating dimensions, it can be predicted that if the 2-D SWG mirrors were scaled to the shortwave infrared region of 1.6–2.5 µm such a 15% fractional bandwidth would only imply a small wavelength range of less than 300 nm, which is quite narrow for developing MEMS tunable hyperspectral Fabry-Perot filters.

Tables Icon

Table 1. Summary of the Reported Single-layer 2-D Subwavelength Grating Mirrors

To maximize the reflectivity and bandwidth of 2-D SWG mirrors, two key aspects need consideration. On the one hand, the optimal grating parameter set needs to be found. On the other hand, precise fabrication of the optimized grating parameters must be ensured. Among all structural parameters, grating fill-factor is most affected by fabrication process variations. The proximity effect in electron-beam lithography (EBL) can cause overexposure of resist patterns, and thus leads to inaccurate definition of grating fill-factor. However, the impact of fill-factor patterning error on 2-D SWG mirror reflectivity has been rarely studied, and critical process control of EBL proximity effect has not been reported for 2-D SWG mirrors in the published literature. In addition, reactive ion etching during pattern transfer process generally produces non-vertical grating sidewalls, which significantly influence mirror characteristics. Therefore, grating sidewall control is also important for realizing high-performance 2-D SWG mirrors. However, the grating sidewall effect has been ignored in previous works and, thus far, the necessary process control has not been presented for 2-D SWG mirrors.

In this paper, we firstly present the design optimization of a silicon-membrane based freestanding 2-D SWG mirror operating in the shortwave infrared, to achieve the largest reflectivity and fractional bandwidth ever reported. Moreover, the effects of grating fill-factor variation and grating sidewall angle on 2-D SWG mirror reflectivity are first examined both theoretically and experimentally. Most importantly, this paper, for the first time, conducts a systematic fabrication process study to correct for the grating fill-factor patterning error caused by EBL proximity effect and to realize accurate control of grating sidewall angle.

2. 2-D SWG mirror design and parameter analysis

As illustrated in Fig. 1(a), the 2-D SWG mirror proposed in this paper is simply composed of a tensile-strained polycrystalline silicon membrane perforated with a square lattice of circular air holes. The advantage of this design is that the freestanding SWG mirror membrane can retain a high degree of surface flatness after release based on its inherent tensile layer stress, without the need for the extraneous stress management processes that are required in multilayer DBR fabrication. The underlying SiO2 layer and supporting silicon substrate are removed to achieve high grating index contrast that is required for realizing broadband high-reflectivity 2-D SWG mirror.

 figure: Fig. 1.

Fig. 1. (a) Schematics of the freestanding 2-D SWG mirror based on a single-layer silicon membrane, cross-sectional view and top view, (b) the modeled reflectivity and transmission spectra of the optimized 2-D SWG mirror design with a grating thickness t = 0.605 µm, a grating period ʌ=1.44 µm, an air-hole diameter-to-pitch ratio d/ʌ=0.95, and a grating sidewall angle θ=90°, (c) and (d) plot the changes in mirror reflectivity as a function of air-hole diameter-to-pitch ratio and grating sidewall angle, respectively.

Download Full Size | PDF

Grating layer thickness (t), period (ʌ), fill-factor (namely diameter-to-pitch ratio d/ʌ of the etched air-holes), and grating sidewall angle (θ, defined as the inner angle of silicon pillar), describe the complete geometry of the 2-D SWG mirror. Rigorous coupled-wave analysis (RCWA) [31] and particle swarm optimization (PSO) [32] were applied to design the mirror for achieving maximum reflectivity and bandwidth within the shortwave infrared wavelength range of 1.6–2.5 µm. In the design modeling, surface-normal incidence of linearly polarized light was assumed, but the light polarization direction was not specified since it does not affect the optical results for 2-D symmetrical SWG mirrors. To ensure good simulation accuracy, the experimentally measured wavelength-dependent refractive index and extinction coefficient of polysilicon were used for optical modeling. The refractive index of polysilicon was measured to be in the range of 3.47–3.48 and the extinction coefficient in the order of 10−3 across 1.6–2.5 µm. Moreover, prior to mirror design optimization, a convergence study was performed to determine the number of harmonics used for reflectivity calculation in RCWA. The number of harmonics determines how fine the refractive index and electromagnetic filed are expanded in Fourier space and thus directly affects simulation accuracy. Several simulations with different numbers of harmonics were run, and it was found that when the number was larger than 20 the simulation results started to converge. Thus, 20 harmonics were used in this study.

As plotted in Fig. 1(b), the optimized grating thickness of 0.605 µm, period of 1.44 µm and air-hole diameter-to-pitch ratio of 0.95 result in an extremely low mirror transmission between 10−2 and 10−6, which corresponds to an ultra-high reflectivity of 99–99.9999%, over the wavelength range of 1.9–2.5 µm. This 600 nm wide spectral range with > 99% reflectivity accounts for 67% of the entire shortwave IR band of 1.6–2.5 µm and represents a fractional bandwidth of 27%, which almost doubles the previously reported record (∼15%) and is the maximum achievable high-reflectivity fractional bandwidth for a silicon-based 2-D SWG mirror. The four transmission dips appearing in the spectrum at wavelengths of 1.95 µm, 2.1 µm, 2.2 µm and 2.4 µm suggest that the extraordinary broadband high reflectivity originates from the combined effect of four guided mode resonances.

In practical mirror fabrication, grating thickness and period can be accurately fabricated using modern high-precision thin-film deposition and EBL systems, whereas grating air-hole fill-factor usually gains significant error due to the ubiquitous EBL proximity effect. Therefore, the impact of grating air-hole fill-factor variation on the 2-D SWG mirror performance was investigated. As evident in Fig. 1(c), the reflectivity of the 2-D SWG mirror shows a strong dependency on air-hole diameter-to-pitch ratio. The reflectance band shifts to longer (shorter) wavelengths as the air-hole diameter-to-pitch ratio decreases (increases). As the ratio deviates from the design value of 0.95, the reflectivity magnitude in the middle of the spectrum drops and the optimized broadband high-reflectivity region splits into two separated narrower bands. Furthermore, it can be seen from Fig. 1(c) that the 2-D SWG mirror is more greatly affected by fill-factor increment than by fill-factor decrement. When the air-hole diameter-to-pitch ratio decreases from 0.95 to 0.92, which corresponds to an air-hole diameter shrinkage of just 43 nm, the central reflectivity decreases by about 10% from > 99% to 90%. In comparison, when the air-hole diameter-to-pitch ratio increases by the same amount from 0.95 to 0.98, namely, the air-hole diameter widens by 43 nm, the central reflectivity falls significantly to 75%. As indicated in Fig. 1(c), in order to achieve the designed 600 nm bandwidth for > 99% reflectivity, the maximum permissible fabrication error for air-hole diameter-to-pitch ratio is ± 0.01 at 0.95, which is equivalent to an air-hole diameter variation of ± 14 nm. This fabrication tolerance poses stringent patterning accuracy requirement for electron-beam lithography process.

Besides grating air-hole fill-factor, as evident from Fig. 1(d), the 2-D SWG mirror is also very sensitive to grating sidewall angle. The optimized 600 nm ultrabroad bandwidth with > 99% reflectivity can be achieved only for a highly vertical grating-sidewall profile, with sidewall angle being in a narrow range between 89°-91°. When the sidewall angle is out of this range, the mirror reflectivity undergoes similar changes to the fill-factor case. The reflectance band exhibits a redshift (blueshift) as the sidewall angle decreases (increases), and the optimized ultrabroad high-reflectivity band splits into two non-contiguous narrower segments due to the lower reflectivity present in the middle of the band. It is also clear that a larger sidewall angle has a more detrimental impact on 2-D SWG mirror than a smaller sidewall angle. For example, a decrease in grating sidewall angle from 90° to 87° will only cause the central reflectivity to drop by 4% from > 99% to 95%, whereas a similar increase in sidewall angle from 90° to 93° will remarkably reduce the central reflectivity to 85%. In addition, it should be noted that grating sidewall angles larger than 90° should be avoided during fabrication, since this creates a grating structure undercut that can eventually coalesce adjacent air-holes. This special fabrication concern along with the 90° ± 1° sidewall angle tolerance requirement imposes significant challenges for silicon etching process.

3. 2-D SWG mirror fabrication and process control

3.1 Fabrication procedure

Fabrication of 2-D SWG mirrors begun with the growth of a 1-µm thick SiO2 layer by thermal oxidation of a silicon wafer at 1000 °C for 3 hours. A polycrystalline silicon layer was then deposited onto the SiO2 layer by low pressure chemical vapor deposition (LPCVD) at 600 °C using SiH4 as precursor gas. The polysilicon was annealed at 950°C for 30 mins in nitrogen environment to obtain a tensile stress of about 10 MPa for maintaining mirror surface flatness. Afterwards, a 400 nm thick ZEP-520A electron-beam resist was spin-coated onto the polysilicon layer. The grating air-hole array was firstly written in the resist by electron beam lithography and subsequently transferred to the polysilicon device layer by inductively coupled plasma reactive ion etching (ICP-RIE) using a SF6 and CHF3 reactant-gas mixture. The residual resist was then removed by dimethylacetamide solutions. The substrate backside was patterned using optical photolithography, followed by deep reactive-ion etching (DRIE) to fabricate an optical aperture through the silicon substrate using the front SiO2 layer as the etch stop. Finally, the SiO2 layer was removed in vapor hydrofluoric (HF) acid, thus releasing the 1 mm × 1 mm dimension 2-D SWG mirror polysilicon membrane.

Precise fabrication of the grating design parameters is the prerequisite for realizing optimal 2-D SWG mirror performance. Profilometry measurements showed that the deposited polysilicon grating layer had a thickness of 605 ± 6 nm across a 1 cm × 1 cm substrate area, and scanning electron microscope measurements indicated that the fabricated grating mirrors had a period of 1.44 ± 0.005 µm, both agreed closely with the design values. However, the grating air-hole fill-factor and sidewall angle showed significant deviations from the target values and, thus, critical process control was carried out for these two parameters.

3.2 EBL proximity effect correction

After the first rounds of fabrication, the air-hole diameter-to-pitch ratio was measured to be 0.985, which is significantly larger than the target value of 0.95. As previously described in Fig. 1(c), such a larger air-hole fill-factor will severely degrade the mirror performance and must be corrected. Because the lateral etching of material had been completely eliminated by using a highly directional plasma etching recipe (with an etched sidewall verticality of 89.8°, see details in the next section), the observed larger fill-factor was mainly caused by the EBL proximity effect, that is, the scattered beam electrons in the resist/substrate resulted in additional dose to the resist regions adjacent to those initially addressed by the electron beam and, consequently, produced enlarged air-hole patterns in the positive-tone ZEP-520A resist.

To solve this problem, the diameter of the air-hole patterns projected onto the resist layer by the electron beam was intentionally reduced in order to compensate for the air-hole dimension expansion caused by the EBL proximity effect, and several exposure experiments were performed to calibrate this approach. Figure 2 shows the experimental results for the fabricated grating air-hole fill-factor as a function of the electron-beam scanned air-hole fill-factor at different exposure doses. As seen in Fig. 2, the fill-factor of the fabricated grating air-holes was continuously increased with the increase of exposure dose, implying the existence of EBL proximity effect. For an exposure-dose increase of 20 µC/cm2, the fabricated air-hole diameter-to-pitch ratio was increased approximately by 0.01. In addition, it is important to note that there existed a narrow process window of exposure dose in order to meet the required air-hole diameter-to-pitch ratio tolerance of 0.95 ± 0.01. In our case, the acceptable exposure dose was approximately between 140–280 µC/cm2 when the electron-beam scanned air-hole diameter-to-pitch ratio was in the range of 0.88–0.922. If the applied exposure dose was lower than 140 µC/cm2, the fabricated air-hole diameter-to-pitch ratio was less than 0.94 (the lower limit of tolerance band), and on some occasions the resist could not be completely exposed (data points labelled by symbol “×”). On the other hand, when the exposure dose was higher than 280 µC/cm2, the EBL proximity effect was so significant that it resulted in a considerably larger air-hole diameter-to-pitch ratio beyond 0.96 (the upper limit of tolerance band), and in the extreme cases of diameter-to-pitch ratio very close to or beyond 1.0, adjacent air-holes coalesced (data points labelled by symbol “□”). Within the process window, the target air-hole diameter-to-pitch ratio of 0.95 can be achieved by properly choosing exposure dose and electron-beam scan pattern dimension. For example, for an exposure dose of 200 µC/cm2, when the e-beam scanned air-hole diameter-to-pitch ratio was chosen to be 0.908, a close-to-target diameter-to-pitch ratio of 0.954 was fabricated. This difference of approximately 0.05 in diameter-to-pitch ratio corresponds to an air-hole diameter enlargement of 72 nm, indicating that the EBL proximity effect is a significant source of error in grating fill-factor definition.

 figure: Fig. 2.

Fig. 2. The fabricated grating air-hole diameter-to-pitch ratio as a function of the electron-beam scanned diameter-to-pitch ratio for various exposure doses. The lines are drawn as the guide to the eyes. Data points labelled by symbol “×” indicate that the electron-beam resists were not completely exposed under the corresponding experimental conditions, and data points labelled by symbol “□” indicate that the fabricated air-hole diameter-to-pitch ratios were very close to or greater than 1.0 so that adjacent air-holes coalesced.

Download Full Size | PDF

3.3 Grating sidewall angle control

Silicon etching by pure SF6 plasma is isotropic in nature and produces negatively tapered grating sidewalls. As previously described in Fig. 1(d), sidewall angles greater than 90° can not only severely degrade the mirror performance but also cause the coalescence of neighboring grating air-holes. To realize highly vertical sidewalls, lateral etching of silicon was suppressed by introducing CHF3 gas into dry etch process. The CHF3 deposits fluorocarbon polymer films on all grating surfaces. The polymer on the bottom surfaces of the etched air-holes is removed by direct ion bombardment so that vertical etching of silicon by fluoride radicals continues, whereas the polymer on the sidewalls is exposed to less direct ion bombardment and accumulates to protect silicon from being laterally etched, resulting in vertical sidewall profiles.

In this study, we adjusted the intensities of polymer passivation and physical ion bombardment by varying relative CHF3 gas flow and RF bias power to control sidewall angle. The CHF3 percentage in CHF3/SF6 gas mixture was varied from 87% to 55% with the total gas flow rate fixed at 30 sccm, and the RF power was increased from 50 W to 95 W at a fixed ICP power of 700W, a pressure of 10 mTorr, and an etching time of 110 s. Focused ion beam was used to cleave grating cross-sections across the center of air-holes, combined with scanning electron microscopy to inspect the etched sidewall profiles. As can be seen in Fig. 3, when the RF power was initially set to 50 W and the CHF3 content accounted for 87% of the total gas flow, a sidewall angle of 69° was obtained. The formation of such a significant positively tapered sidewall profile is because: polymer deposition at the high CHF3 flow rate dominates over the ion bombardment induced polymer removal, and the polymer on the sidewalls becomes increasingly thicker as the process proceeds, resulting in a continual increase in the masked area and thus a V-groove shaped grating profile. By reducing the CHF3 percentage from 87% (CHF3/SF6 = 26/4 sccm) to 55% (CHF3/SF6 = 16.5/13.5 sccm), the sidewall angle was considerably increased from 69° to 77° due to reduced polymer deposition on the sidewalls. However, based on the trend of the curve, we can predict that it is not possible to realize straight sidewalls at an RF power of 50 W even if the CHF3 gas flow is further decreased.

 figure: Fig. 3.

Fig. 3. The etched grating sidewall angle as a function of CHF3 gas flow percentage and RF bias power. The lines are drawn as the guide to the eyes. Note that the air-hole diameter-to-pitch ratio presented in the figure refers to the value at the top surface of the grating.

Download Full Size | PDF

Our research shows that the verticality of the etched grating sidewalls can be further improved by increasing RF power. Higher RF power enhances plasma density and ion energy in the chamber to consume more polymer on the sidewalls and hence to produce straighter sidewall profile. When the CHF3 percentage was decreased from 87% to 55% at a much higher RF power of 95 W, the sidewall angle was increased from 80.8° to 92°, showing a remarkable improvement in sidewall verticality compared to the 50 W RF power case. In particular, an optimized grating sidewall angle of 89.8° was attained at a CHF3 percentage of 67%, where the balance point between polymer passivation and ion sputtering rates was reached.

There are two additional observations worth noting in Fig. 3. On the one hand, an undesirable sidewall angle greater than 90° was obtained at a high RF power of 95 W and low CHF3 concentration of 55%. On the other hand, the grating sidewall angle showed a tendency to saturate with increasing RF power. This is because a higher RF power leads to a larger sheath thickness above the substrate platen and, thus, the ions travel a longer distance to reach the sample with a higher probability of collision with gas molecules in the chamber and, as a result, the ions experience little increase of energy as they cross the sheath and the intensity of ion bombardment remains essentially unaffected. Due to the above two aspects, there existed a narrow process window of CHF3 gas flow and RF power for achieving the required sidewall angle tolerance of 90° ± 1°. In our case, the acceptable CHF3 percentage lied approximately between 55–67% and RF power in the range of 80–95 W. In addition, as can be observed from the cross-sectional SEM images in Fig. 3, all the etched grating sidewalls were smooth and showed negligible surface roughness, which is significantly beneficial for realizing broadband high-reflectivity 2-D SWG mirrors.

4. Optical characterizations for 2-D SWG mirrors

An in-house built optical spectrometer was employed to measure the reflectivity of the fabricated 2-D SWG mirrors. The measurements were taken for normal incidence of a linearly polarized and highly collimated beam of light with a very small divergence angle of 1°. To prevent the light from falling outside the mirror area, and thus to ensure accurate optical measurements, the width of the incident light beam was limited to 500 µm by an iris diaphragm, which is only half the lateral dimension of the fabricated 2-D SWG mirrors, and the beam spot was precisely aligned to the central mirror area. A commercial broadband dielectric mirror with reflectivity specification of 99.4% over the 2.0-2.3 µm wavelength range was used to calibrate the system. The measured reflectivity showed an average value of 99.3% with an error range of ± 0.2%, which agrees closely with the mirror specification, demonstrating the system’s capability of carrying out high-precision measurements for reflectivity greater than 99%.

Figure 4(a) shows the measured reflectivity spectra of the fabricated 2-D SWG mirrors with different air-hole diameter-to-pitch ratios of 0.92, 0.94 and 0.954, whose grating geometries have been given in Fig. 2. Note that all these mirrors were fabricated using the optimized directional silicon etch recipe and therefore have the same vertical sidewall profiles. Due to 90° rotational symmetry of the fabricated grating structures, the measured mirror reflectivity was independent of light polarization. For simplicity of illustration, only the results for the x-polarized light are presented. The measured reflectivity spectra exhibited a slight blueshift towards shorter wavelengths with increasing air-hole fill-factor. For an air-hole diameter-to-pitch ratio of 0.954, an average reflectivity of 99% was demonstrated over an ultrabroad wavelength range of 560 nm (1.92–2.48 µm). This spectral range corresponds to a fractional bandwidth of 26%, which almost doubles the literature record (∼15%) and is the largest fractional bandwidth ever reported for a 2-D SWG mirror. In addition, a similar high average reflectivity of 98% was also measured for a diameter-to-pitch ratio of 0.94 across a relatively narrower bandwidth of 480 nm (2.0–2.48 µm). The measured mirror reflectivity for the air-hole diameter-to-pitch ratios of 0.954 and 0.94 agrees closely with the design performance, since in both cases the air-hole fill-factor tolerance requirement of 0.95 ± 0.01 is fulfilled. In comparison, for an out-of-tolerance diameter-to-pitch ratio of 0.92, a significantly lower reflectivity of 90% was measured in the central region of the spectrum, and the designed optimal 99% reflectivity was only achieved near the wavelength of 2.1 µm. All the above experimental observations are in good agreement with the modeling results shown in Fig. 1(c).

 figure: Fig. 4.

Fig. 4. Comparison of the modeled and measured reflectivity spectra of the fabricated 2-D SWG mirrors with different (a) grating air-hole diameter-to-pitch ratios and (b) sidewall angles.

Download Full Size | PDF

Figure 4(b) shows the measured reflectivity spectra of the fabricated 2-D SWG mirrors with different grating sidewall angles of 80.8°, 85.2° and 89.8°, whose grating geometries have been given in Fig. 3. It should be noted that all these mirrors were fabricated using the optimized EBL processing condition and their air-hole diameter-to-pitch ratios fall within the design tolerance range of 0.95 ± 0.01. Therefore, the influence of the observed air-hole fill-factor variation on the measured mirror reflectivity can be neglected. As can be seen in Fig. 4(b), the measured mirror reflectivity varied significantly with grating sidewall angle. Similar to the fill-factor case, the reflectivity spectra exhibited a slight blueshift towards shorter wavelengths as the sidewall angle was increased. An average reflectivity of 99% was achieved over an ultrabroad bandwidth of 560 nm (1.92–2.48 µm) for a vertical sidewall angle of 89.8°. In comparison, the mirrors with sidewall angles of 85.2° and 80.8° demonstrated considerably lower reflectivity of 90% and 80% in the central region of the spectra, respectively, and the designed optimal 99% reflectivity was only measured near the wavelength of 2.45 µm. All the above experimental results are in good agreement with the modeling results as shown in Fig. 1(d). In addition, by comparing Fig. 4(a) and (b), we can see that the reflectivity spectrum for a sidewall angle of 85.2° shows a strong resemblance with the spectrum for a diameter-to-pitch ratio of 0.92. This indicates that, from a device point of view, the non-vertical grating sidewall profile of 2-D SWG mirror can be treated as being equivalent to a change in the effective grating air-hole fill-factor.

5. Summary and conclusions

Successful development of high-spectral-resolution MEMS Fabry-Perot optical filters is technologically challenging due to the stress gradient induced bowing of the suspended multilayer DBR mirrors compounded by the requirement to incorporate complicated stress balancing mechanisms. This paper has reported the development of an ultra-broadband highly reflective single-layer 2-D SWG mirror as a substitute for conventional DBRs. Design optimization has been performed, resulting in higher than 99% reflectivity over a wavelength range of 1.92.5 µm for a grating thickness of 0.605 µm, a period of 1.44 µm, and an air-hole diameter-to-pitch ratio of 0.95. Moreover, the impacts of grating air-hole fill-factor and sidewall angle on 2-D SWG mirror performance have been first investigated. Optical modeling reveals that the optimized broadband high-reflectivity feature of the 2-D SWG mirror can only be achieved for a tight air-hole diameter-to-pitch ratio tolerance of 0.95 ± 0.01 and vertical grating sidewall angle of 90° ± 1°, whereas the parameters out of these tolerance ranges can result in significantly degraded mirror reflectivity and bandwidth. Most importantly, a systemic fabrication process study of 2-D SWG mirror has been conducted. For the first time, a geometry compensation approach is established to correct for the grating air-hole fill-factor pattering error caused by the EBL proximity effect, and a silicon dry etch process based on a CHF3 passivation gas is developed to control grating sidewall angle. Through strict process control, a 2-D SWG mirror with a close-to-design air-hole diameter-to-pitch ratio of 0.954 and vertical sidewall angle of 89.8° has been fabricated. This mirror demonstrates an average reflectivity of 99% over a wavelength range of 1.922.48 µm, which agrees closely with the design mirror performance. This 560 nm wide spectral range represents an unprecedented fractional bandwidth of 26%, which almost doubles the literature record of ∼15%. In comparison, the fabricated 2-D SWG mirrors with out-of-tolerance grating air-hole fill-factors and non-vertical sidewall angles show considerably lower optical reflectivity and narrower bandwidth. We believe that the work presented in this paper fills the long-standing gap in the technological development of broadband high-reflectivity 2-D SWG mirrors and can serve as a comprehensive experimental guide for precise fabrication of 2-D SWG mirrors, and also, is a good starting point for developing high-spectral-resolution MEMS Fabry-Perot optical filters used in hyperspectral infrared microspectrometers.

Funding

National Natural Science Foundation of China (62004038); Opening Project of Science and Technology on Reliability Physics and Application Technology of Electronic Component Laboratory (19D09); Fundamental Research Funds for the Central Universities (2242021R10013); Social Science Foundation of Jiangsu Province (21YYC002); Australian Research Council (CE200100010).

Acknowledgments

The authors thank Professor Qing’an Huang at the Key Laboratory of MEMS of the Ministry of Education, School of Electronic Science and Engineering, Southeast University for help identifying collaborators for this work.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. P. A. Stupar, R. L. Borwick, J. F. DeNatale, P. H. Kobrin, and W. J. Gunning, “MEMS tunable Fabry-Perot filters with thick, two sided optical coatings,” in Transducers 2009–2009 International Solid-State Sensors, Actuators and Microsystems Conference (2009), pp. 1357–1360.

2. M. Ebermann, N. Neumann, K. Hiller, E. Gittler, M. Meinig, and S. Kurth, “Widely tunable Fabry-Perot filter based MWIR and LWIR microspectrometers,” Proc. SPIE 8374, 837401 (2012). [CrossRef]  

3. N. Neumann, M. Ebermann, S. Kurth, and K. Hiller, “Tunable infrared detector with integrated micromachined Fabry-Perot filter,” J. Micro/Nanolith. MEMS MOEMS 7(2), 021004 (2008). [CrossRef]  

4. J. S. Milne, J. M. Dell, A. J. Keating, and L. Faraone, “Widely tunable MEMS-based Fabry–Perot filter,” J. Microelectromech. Syst. 18(4), 905–913 (2009). [CrossRef]  

5. A. Rissanen, R. Mannila, M. Tuohiniemi, A. Akujarvi, and J. Antila, “Tunable MOEMS Fabry-Perot interferometer for miniaturized spectral sensing in near-infrared,” Proc. SPIE 8977, 897701 (2014). [CrossRef]  

6. C. Huber, B. Stein, and H. Kalt, “Large-aperture Fabry-Perot filters based on silicon/silicon carbonitride distributed Bragg reflectors for the near-infrared,” in 2017 IEEE Sensors (2017), pp. 1–3.

7. M. Tuohiniemi, M. Blomberg, A. Akujarvi, J. Antila, and H. Saari, “Optical transmission performance of a surface-micromachined Fabry-Perot interferometer for thermal infrared,” J. Micromech. Microeng. 22(11), 115004 (2012). [CrossRef]  

8. J. R. Silva, H. Kala, D. K. Tripathi, K. K. M. B. D. Silva, M. Martyniuk, A. J. Keating, G. Putrino, and L. Faraone, “Large area silicon-air-silicon DBRs for infrared filter applications,” J. Lightwave Technol. 37(3), 769–779 (2019). [CrossRef]  

9. R. Magnusson and S. S. Wang, “New principle for optical filters,” Appl. Phys. Lett. 61(9), 1022–1024 (1992). [CrossRef]  

10. M. Kanskar, P. Paddon, V. Pacradouni, R. Morin, A. Busch, J. F. Young, S. R. Johnson, J. MacKenzie, and T. Tiedje, “Observation of leaky slab modes in an air-bridged semiconductor waveguide with a two- dimensional photonic lattice,” Appl. Phys. Lett. 70(11), 1438–1440 (1997). [CrossRef]  

11. S. Fan and J. D. Joannopoulos, “Analysis of guided resonances in photonic crystal slabs,” Phys. Rev. B 65(23), 235112 (2002). [CrossRef]  

12. S. Noda, M. Yokoyama, M. Imada, A. Chutinan, and M. Mochizuki, “Polarization mode control of two-dimensional photonic crystal laser by unit cell structure design,” Science 293(5532), 1123–1125 (2001). [CrossRef]  

13. S. Fan, W. Suh, and J. D. Joannopoulos, “Temporal coupled-mode theory for the Fano resonance in optical resonators,” J. Opt. Soc. Am. A 20(3), 569–572 (2003). [CrossRef]  

14. T. Ansbaek, I. Chung, E. S. Semenova, and K. Yvind, “2013-nm tunable monolithic high index contrast subwavelength grating VCSEL,” IEEE Photonics Technol. Lett. 25(4), 365–367 (2013). [CrossRef]  

15. Y. Zhou, M. Huang, and C. Chang-Hasnain, “Tunable VCSEL with ultra-thin high contrast grating for high-speed tuning,” Opt. Express 16(18), 14221–14226 (2008). [CrossRef]  

16. Y. Rao, W. Yang, C. Chase, M. Huang, D. Worland, S. Khaleghi, M. Chitgarha, M. Ziyadi, A. Willner, and C. Chang-Hasnain, “Long-wavelength VCSEL using high-contrast grating,” IEEE J. Sel. Top. Quantum Electron. 19(4), 1701311 (2013). [CrossRef]  

17. S. Boutamia, B. Benbakir, J. Leclercq, and P. Viktorovitch, “Compact and polarization controlled 1.55µm vertical-cavity surface-emitting laser using single-layer photonic crystal mirror,” Appl. Phys. Lett. 91(7), 071105 (2007). [CrossRef]  

18. C. Helke, K. Hiller, T. Werner, D. Reuter, M. Meinig, S. Kurth, C. Nowak, H. Kleinjans, and T. Otto, “Large-scale fabrication of LP-CVD Si3N4 photonic crystal structures as freestanding reflectors with 1 mm aperture for Fabry-Perot interferometers,” Proc. SPIE 10354, 1035403 (2017). [CrossRef]  

19. J. P. Moura, R. A. Norte, J. Guo, C. Schafermeier, and S. Groblacher, “Centimeter-scale suspended photonic crystal mirrors,” Opt. Express 26(2), 1895–1909 (2018). [CrossRef]  

20. C. P. Ho, P. Pitchappa, B. W. Soon, and C. Lee, “Suspended 2-D photonic crystal aluminum nitride membrane reflector,” Opt. Express 23(8), 10598–10603 (2015). [CrossRef]  

21. S. Boutami, B. B. Bakir, H. Hattori, X. Letartre, J.-L. Leclercq, P. Rojo-Romeo, M. Garrigues, C. Seassal, and P. Viktorovitch, “Broadband and compact 2-D photonic crystal reflectors with controllable polarization dependence,” IEEE Photonics Technol. Lett. 18(7), 835–837 (2006). [CrossRef]  

22. A. Ricciardi, S. Campopiano, A. Cusano, T. F. Krauss, and L. O’Faolain, “Broadband mirrors in the near-infrared based on subwavelength gratings in SOI,” IEEE Photonics J. 2(5), 696–702 (2010). [CrossRef]  

23. I. W. Jung, S. Kim, and O. Solgaard, “High-reflectivity broadband photonic crystal mirror MEMS scanner with low dependence on incident angle and polarization,” J. Microelectromech. Syst. 18(4), 924–932 (2009). [CrossRef]  

24. V. Lousse, W. Suh, O. Kilic, S. Kim, O. Solgaard, and S. Fan, “Angular and polarization properties of a photonic crystal slab mirror,” Opt. Express 12(8), 1575–1582 (2004). [CrossRef]  

25. M. Kerber, B. Dick, M. Fralick, H. Jazo, and R. Waters, “Design of highly reflective subwavelength diffraction gratings for use in a tunable spectrometer,” in 2009 IEEE Sensors (2009), pp. 1984–1987.

26. Y. Shuai, D. Zhao, G. Medhi, R. Peale, Z. Ma, W. Buchwald, R. Soref, and W. Zhou, “Fano-resonance photonic crystal membrane reflectors at mid- and far-infrared,” IEEE Photonics J. 5(1), 4700206 (2013). [CrossRef]  

27. C. P. Ho, P. Pitchappa, P. Kropelnicki, J. Wang, H. Cai, Y. Gu, and C. Lee, “Characterization of polycrystalline silicon-based photonic crystal-suspended membrane for high temperature applications,” J. Nanophotonics 8(1), 084096 (2014). [CrossRef]  

28. C. P. Ho, P. Pitchappa, P. Kropelnicki, J. Wang, H. Cai, Y. Gu, and C. Lee, “Two-dimensional photonic-crystal-based Fabry–Perot etalon,” Opt. Lett. 40(12), 2743–2746 (2015). [CrossRef]  

29. K. Ikeda, K. Takeuchi, K. Takayose, I. S. Chung, J. Mørk, and H. Kawaguchi, “Polarization-independent high-index contrast grating and its fabrication tolerances,” Appl. Opt. 52(5), 1049–1053 (2013). [CrossRef]  

30. P. Qiao, K. Li, K. T. Cook, and C. J. Chang-Hasnain, “MEMS-tunable VCSELs using 2D high-contrast gratings,” Opt. Lett. 42(4), 823–826 (2017). [CrossRef]  

31. M. G. Moharam, E. B. Grann, and D. A. Pommet, “Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A 12(5), 1068–1076 (1995). [CrossRef]  

32. M. Shokooh-Saremi and R. Magnusson, “Particle swarm optimization and its application to the design of diffraction grating filters,” Opt. Lett. 32(8), 894–896 (2007). [CrossRef]  

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (4)

Fig. 1.
Fig. 1. (a) Schematics of the freestanding 2-D SWG mirror based on a single-layer silicon membrane, cross-sectional view and top view, (b) the modeled reflectivity and transmission spectra of the optimized 2-D SWG mirror design with a grating thickness t = 0.605 µm, a grating period ʌ=1.44 µm, an air-hole diameter-to-pitch ratio d/ʌ=0.95, and a grating sidewall angle θ=90°, (c) and (d) plot the changes in mirror reflectivity as a function of air-hole diameter-to-pitch ratio and grating sidewall angle, respectively.
Fig. 2.
Fig. 2. The fabricated grating air-hole diameter-to-pitch ratio as a function of the electron-beam scanned diameter-to-pitch ratio for various exposure doses. The lines are drawn as the guide to the eyes. Data points labelled by symbol “×” indicate that the electron-beam resists were not completely exposed under the corresponding experimental conditions, and data points labelled by symbol “□” indicate that the fabricated air-hole diameter-to-pitch ratios were very close to or greater than 1.0 so that adjacent air-holes coalesced.
Fig. 3.
Fig. 3. The etched grating sidewall angle as a function of CHF3 gas flow percentage and RF bias power. The lines are drawn as the guide to the eyes. Note that the air-hole diameter-to-pitch ratio presented in the figure refers to the value at the top surface of the grating.
Fig. 4.
Fig. 4. Comparison of the modeled and measured reflectivity spectra of the fabricated 2-D SWG mirrors with different (a) grating air-hole diameter-to-pitch ratios and (b) sidewall angles.

Tables (1)

Tables Icon

Table 1. Summary of the Reported Single-layer 2-D Subwavelength Grating Mirrors

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.