Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Inverse optical scatterometry using sketch-guided deep learning

Open Access Open Access

Abstract

Optical scatterometry, also referred to as optical critical dimension (OCD) metrology, is a widely used technique for characterizing nanostructures in semiconductor industry. As a model-based optical metrology, the measurement in optical scatterometry is not straightforward but involves solving a complicated inverse problem. So far, the methods for solving the inverse scattering problem, whether traditional or deep-learning-based, necessitate a predefined geometric model, but they are also constrained by this model with poor applicability. Here, we demonstrate a sketch-guided neural network (SGNN) for nanostructure reconstruction in optical scatterometry. By learning from training data based on the designed generic profile model, the neural network acquires not only scattering knowledge but also sketching techniques, that allows it to draw the profiles corresponding to the input optical signature, regardless of whether the sample structure is the same as the generic profile model or not. The accuracy and strong generalizability of proposed approach is validated by using a series of one-dimensional gratings. Experiments have also demonstrated that it is comparable to nonlinear regression methods and outperforms traditional deep learning methods. To our best knowledge, this is the first time that the concept of sketching has been introduced into deep learning for solving the inverse scattering problem. We believe that our method will provide a novel solution for semiconductor metrology, enabling fast and accurate reconstruction of nanostructures.

© 2024 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Metrology plays an indispensable role in semiconductor manufacturing, with significant implications for ensuring product quality, enhancing yield, and optimizing processes [15]. Optical scatterometry has become one of the most important techniques for critical dimension metrology of nanostructures over the past decades due to its inherent noncontact, non-destructive, time-effective, and relatively inexpensive merits over other techniques, such as scanning electron microscopy and atomic force microscopy [610]. However, optical scatterometry is an indirect characterization technique that involves the process of inferring geometric parameters from the measured signatures, making it a typical inverse problem [11]. Here, the term “signature” represents the optical response of the nanostructure sample under test, which can be in the form of reflectance, ellipsometric angles, Mueller matrix (MM) elements, etc., or can consist of a combination of these spectra. In conventional methods, such as library search [12] and nonlinear regression [13], the solution is obtained by finding the calculated signatures best matching to the measured ones through a predefined geometric model. This solving process usually takes a few seconds or even tens of seconds. Additionally, it will yield an inaccurate or erroneous result when using an improper geometric model. Therefore, any improvement in analysis methods for scatterometry will be of great interest for the semiconductor metrology.

Deep learning, with its powerful arithmetic capabilities and fast computational speed, has achieved many successful applications in fields such as computer vision [14] and natural language [15], which provides a new avenue for nanostructure reconstruction. Recently, some researchers have employed neural networks establishing the mapping from signature space to parameter space for direct deciphering [1622], and neural networks can also be used as surrogate electromagnetic (EM) solvers to speed up the solution of traditional methods [23]. However, the predictive capability of these neural networks is constrained by the predefined geometric model’s structure. As deviations in the manufacturing process can alter the sample's shape, overreliance on this geometric model might result in incorrect outcomes. Therefore, studies on identification of nanostructures have been proposed [24,25], and there is also a work on nanostructure reconstruction by weighting the results of neural networks trained by several different geometric models [20]. Nevertheless, the time cost has been increased in the preparations of these networks, and the persistent challenge posed by the dependence on the geometric model requires further attention and resolution.

In this work, we present a novel method named SGNN for nanostructure reconstruction using sketch-guided deep learning, to address the above challenges. As far as we know, this is the first time that the concept of sketching has been introduced into deep learning algorithms for solving the inverse scattering problem. In sketching, arbitrary patterns can be drawn using only lines. Inspired by this, we replace the parametric representation of geometry with profile data to enhance the expressiveness of neural networks and propose a design principle for constructing generic profile models, which are employed to generate signature-profile data pairs for training. After training on this dataset, the neural network has gained expertise in both scatterometry and sketching, enabling it to predict the structural profile according to the input optical signature. Remarkably, it is more expressive and suited for reconstructing samples with structures differing from generic profile models. We take the measurement of one-dimensional gratings as an illustrative example. Both simulating and experimental results have demonstrated that our method exhibits strong generalizability, achieves solutions comparable to those obtained through nonlinear regression methods, and outperforms traditional deep learning methods.

2. Method

2.1 Principle of the sketch-guided neural network

In order to better illustrate the innovations of the proposed method, we first discuss the inverse solving process of the traditional deep learning. As shown in Fig. 1(a), the geometries of nanostructures are characterized by several parameters according to the geometric models of samples, and the aim of the structure reconstruction is to extract the underdetermined geometric parameters. The neural networks provide a bridge between the signature space and the parameter space, which enables the decryption of structure information from measured signature. The geometric models divide the parameter space into multiple subspaces, similarly to the signature space. More specifically, a single neural network is trained using the training dataset generated by a specific geometric model, which takes the measured signature as the input and outputs the structural parameters of the predefined geometric model. However, this solving method restricts its applicability to other structures. Therefore, we refer to this method as model-dependent neural network named MDNN. Similarly, when using library search or nonlinear regression, obtaining accurate results also relies on choosing the correct geometric model. Given a set of optical signatures measured on a sample, it requires human intervention or classification algorithms to choose a neural network regarding the geometric model for reconstruction. Without precise prior knowledge of the structure, MDNN may produce incorrect results due to poor choice of geometric model. Obviously, the primary limitation of the MDNN is its reliance on geometric models, resulting in poor generalization.

 figure: Fig. 1.

Fig. 1. Deep learning algorithms for nanostructure reconstruction in optical scatterometry. (a) Schematic overview of the reconstruction using the traditional deep learning method. (b) Overall workflow of the sketch-guided neural network for extracting structural profiles. (c) Generation of the training data inspired by sketch drawing.

Download Full Size | PDF

In the inverse scatterometry, minimizing dependence on geometric models can greatly reduce training costs and enhance measurement efficiency. For this purpose, we present the SGNN for nanostructure reconstruction, as illustrated in Fig. 1(b). The capability of neural networks to solve inverse scattering problems has been demonstrated. Based on this, we further enable them to learn sketching techniques to expand their predictive abilities, allowing them to reconstruct structures different from those in the training data. The proposed SGNN technique is described as follows. First, we introduce a modification for characterizing nanostructure geometry by employing profile shapes as the representation approach, allowing for the integration of individual parameter spaces into a unified profile space. Second, we build a neural network that takes signatures as input and outputs profile shapes. Subsequently generating the dataset used to train the network is the most key technique. Line drawing is a style of sketching in which the artists accurately depict objects using only straight lines. Novice drawers often use this technique to practice drawing circles, as shown in the top subplot of Fig. 1(c). Inspired by line drawing, we propose a designing principle for a generic profile model: employing oblique lines to depict regions that may exhibit curvature or tilting on a sample, without the need for precise geometry. According to the designed generic profile model, we employ EM solvers to compute corresponding signatures. Finally, the neural network, trained on the signature-profile data pairs and referred to as SGNN, enables the reconstruction of samples with varying geometries. The SGNN method outperforms the MDNN method in analyzing samples with varying structures, as it does not necessitate an accurate predefined geometric model or a classification algorithm, but only a single network with less training data.

2.2 Design of generic profile model based on sketch

To provide insight into the design details of a generic profile model, we presented an illustrative example of one-dimensional gratings. The top row of Fig. 1(a) and bottom row of Fig. 1(b) show common geometries with symmetrical convex shapes, with features such as sloped or curved sidewalls, and rounded corners at the top or bottom. According to those potential features, we developed a generic profile model consisting of three components: a trapezoid at the top, a rectangle in the center, and another trapezoid at the bottom, as illustrated in Fig. 1(c). This model is defined by six parameters: rectangle width W, top contraction width w1, bottom extension width w2, height H, top contraction height h1 and bottom extension height h2. The slopes of the model play the role of straight lines in line drawing. The manipulation of four parameters (W, w1, H, h1) facilitates the construction of diverse straight lines effectively representing curved shapes, allowing for potential profiles at the top half of samples. Similarly, by controlling parameters (W, w2, H, h2), the profile of the lower part can be accurately represented. This model could provide valuable learning information for the neural network to reconstruct the profiles of samples.

2.3 Data description

The proposed SGNN was applied to reconstruct the profiles of one-dimensional gratings. As an illustrative example, the sample used to generate training data is a Si grating (with the generic profile model designed above). The optical properties of Si are taken from Ref. [26]. The period of the grating is set to 125 nm. The maximum width and maximum height define the range of possible shapes for the grating, which we set to 90 nm and 120 nm, respectively. The varying ranges of each parameter and the limited conditions between the parameters are given in Table 1. The structural profiles of the samples were constructed by randomly selecting values in parameters ranges. The profile data were calculated corresponding to each sample. A profile was generated along the periodic direction, capturing contour points at 0.1 nm intervals. Considering a distance with a maximum grating width of 90 nm, each profile data was a 901-dimensional vector. Subsequently, the profile data were normalized using a maximum height of 120 nm, producing the normalized profile, as depicted in Fig. 2.

 figure: Fig. 2.

Fig. 2. Architecture of the SGNN. These convolutional layers have a kernel size of 3, zero padding size of 1 and stride of 1. Before entering the fully connected layers, the extracted features are flattened into a 1 × 672 one-dimensional vector.

Download Full Size | PDF

Tables Icon

Table 1. Varying ranges of the six parameters for training data

Optical signature can be a type of spectral data or a combination of several types of spectral data. In our study, we selected MM spectra for nanostructure reconstruction since they provide plentiful information for sensitive measurements [2729]. Generating training data through experiments requires producing many samples and performing experiments for each sample, which is extremely expensive and time-consuming. Therefore, we built an EM solver, based on rigorous coupled-wave analysis (RCWA) [30], to compute the MM spectra corresponding to the generated samples described above. We chose a common measurement configuration. Specifically, the incident angle was fixed at 65°, the spectral range was set to 250–800 nm with an interval of 10 nm and the azimuth angle was fixed at 60°. Finally, we collected 60,000 distinct MM-profile pairs through simulation to serve as the training data.

2.4 Construction of the neural network

For achieving nanostructure reconstruction, a one-dimensional convolutional neural network was constructed. Convolution operation involves moving convolution kernels over input data and performing summation, which can extract local features. With more convolutional layers, the network can extract more complex features from the previous low-level features. As depicted in Fig. 2, five convolutional layers are adopted to extract higher order features from signatures, and two batch normalization layers are introduced after the first and second convolutional layers to mitigate potential vanishing gradients, thus improving generalization performance and convergence speed. And Max-pooling operations are performed after the first, second, and fifth convolutional layers to extract the most important information and reduce computation complexity. The extracted higher-order features are flattened, processed by three fully connected layers, and then used to predict profiles. Additionally, considering computational efficiency and the handle of negative values, Leaky ReLU with a negative slope of 0.2 is selected as the activation function, except for employing a Sigmoid function after the final layer to constrain the output values within reasonable bounds.

The construction and training of SGNN were performed using the open-source deep learning library Pytorch, on a computer workstation with a central processing unit (Intel Xeon E5-2643v4 @3.4 GHz), 128 GB access memory and three graphics processing units (GeForce RTX 2080 Ti). The training iterations and batch size of data were set to be 600 and 200, respectively. The mean absolute error between predicted and target profiles was chosen as the loss function. The Adam optimizer [31] with a learning rate of 0.001, known for its fast convergence, was employed for training.

2.5 Algorithm for profile smoothing

Considering that neural networks establish a mapping relationship between inputs and outputs through end-to-end learning, predictions can be inherently unstable and biased. Predicted profiles can be post-processed to reduce the impact of biases, which is another advantage compared to MDNN. Since the nodes of the network output are independent of each other, the biases are specifically manifested in the high-frequency and sub-high-frequency fluctuations on predicted profiles. There are already many mature smoothing algorithms, such as low-pass filtering, moving median filtering, etc. However, one single algorithm cannot satisfy the needs for profile smoothing, and the effect of smoothing depends on the manual setting of parameters, i.e. window length. To improve the prediction results, we proposed a profile smoothing algorithm. As shown in Fig. 3, the proposed automatic profile smoothing algorithm consists of two process: first smoothing process based on frequency-domain low-pass filtering, and second smoothing process using moving median filtering algorithms. The former aims to filter out high-frequency fluctuations in the predicted profile, while the latter achieves the removal of sub-high-frequency fluctuations. The details of proposed algorithm and its automated implementation are described in the following text.

 figure: Fig. 3.

Fig. 3. Flowchart of the proposed automatic profile smoothing algorithm. (a)(b) Effect of window length on frequency-domain low-pass filtering and moving median filtering, respectively, where the black line indicates the input profile and red line represents smoothed profile; (c) Schematic diagram of the first smoothing process for removing high frequency fluctuations from a profile; (d) Schematic diagram of the second smoothing process to remove sub-high frequency fluctuations from a profile.

Download Full Size | PDF

In the first smoothing process, the setting of the window function plays a crucial role and determines the profile smoothing effect. The selection of the window function needs to consider parameters such as the main lobe width and side lobe attenuation comprehensively. Hann window is selected due to its good trade-off between the above two parameters. In addition, window length is also a decisive parameter. As shown in Fig. 3(a), too small a window function length will cause the original profile to deform, and too large a window function length will not work for noise removal. Therefore, we use the predicted profile area Ap as a threshold and adopt a multiple-step smoothing strategy that the window length L1 is gradually increased, and when the smoothed profile area Af coincides with Ap, we can obtain the preliminary smoothed profile, as illustrated in Fig. 3(c). For the second smoothing process, an improper window length can also affect the smoothing performance, as shown in Fig. 3(b), such as poor smoothing due to smaller window length, profile distortion caused by excessive window length. And we employ a multiple-step smoothing strategy with gradually increased window length L2, as illustrated in Fig. 3(d). Regarding the common one-dimensional gratings shown in Fig. 1, the local maximum of a smooth profile does not exceed two. Thereby, we can utilize N = 3 as a termination condition. What’s more, the relative area change δA between the smoothed profile and the input profile is constrained by a threshold ɛ to preserve the original profile. Based on the above setting, the predictions of the SGNN will undergo smoothing to obtain the reconstructed profiles.

3. Results and discussion

3.1 Evaluation of reconstruction ability

The proposed SGNN method was initially assessed using simulating datasets, as simulations offer a comprehensive exploration of SGNN's ability to reconstruct gratings of diverse shapes. Two types of gratings, namely rectangular and trapezoidal, are utilized to generate testing data. The geometric model of each structure is shown in Supplement 1, Fig. S1 and Table S1, provides details about the ranges and conditions of those structural parameters. The materials of the gratings and substrates for each testing samples are consistent with those in the training set. Other settings related to the measurement configuration (such as incident angle, detection spectral wavelength, etc.) are the same as those set in Section 2.3. A total of 8 test sample structures were provided, with each sample structure generating 50 test samples by randomly selecting values within parameter ranges, and their spectra were computed using the established EM. The simulated test dataset contained 400 samples in total.

To achieve better results through the proposed profile smoothing algorithm, there is need to set initial parameters and termination conditions for each process for its implementation. In the first smoothing process, the initial value of the window function length L1 is set to 11, with an increment of 2 for each iteration. In the second smoothing process, the initial value of the window length L2 is set to 3, with an increment of 2 for each iteration. And zeros are padded at both ends of the input profile to ensure consistent data dimensions before and after smoothing. The threshold ɛ for relative area change is set to 0.1%.

To quantitatively evaluate the results of SGNN, we took the mean absolute error (MAE) between the reconstructed profile and ground truth as the metric, defined by

$$\textrm{MAE} = \frac{1}{n}\sum\nolimits_{i = 1}^n {|{{p_i} - {y_i}} |} , $$
where ${p_i}$ and ${y_i}$ represent the element of the reconstructed and ground truth profile, respectively, and n is the dimension of profile data, which is 901 regarding the above settings. And the upper quartile absolute error (UQAE) of a result is used to auxiliary illustrating the distribution of errors.

3.1.1 Case of rectangular gratings

We began by evaluating the SGNN's reconstruction performance with rectangular gratings, which are commonly employed in photonics and optoelectronics. These standard rectangle gratings may exhibit manufacturing errors in the form of three variations: rectangle with upper rounded corners, rectangle with lower rounded corners, and rectangle with upper and lower rounded corners. Figure 4 illustrates the reconstruction errors for these samples. The MAE of each sample is less than 1.5 nm. The mean values of MAEs for these four structures are 0.93 nm, 0.90 nm, 0.85 nm and 0.92 nm, respectively. It is worth noting that the MAE is greater than the UQAE for almost all samples. It means that at least 75% of the data points are reconstructed very accurately with errors less than the MAEs.

 figure: Fig. 4.

Fig. 4. Results of SGNN for rectangular gratings. (a) depicts the MAEs and UQAEs between the reconstructed profiles and ground truths; (b)-(i) display detailed results and errors for samples 1-8, with the reconstructed profiles represented by dashed lines and ground truth profiles drawn as solid lines in the top subgraphs.

Download Full Size | PDF

Figures 4(b)-(e) and Supplement 1, Fig. S2, depict the detailed results for Samples 1-4, with MAEs close to the mean values. It is apparent that the reconstructed profiles closely align with the ground truths. Areas with relatively significant errors are predominantly located along the vertical sides of these rectangles, suggesting that accuracy is somewhat reduced at the vertical edges, contributing to the elevated MAE values. This is primarily because during the initial smoothing process, frequency domain truncation results in the loss of high-frequency information, leading to the appearance of slanted vertical edges. Remarkably, the SGNN effectively characterizes rounded corners, even when they were not part of the training dataset, albeit with minor deviations. Figures 4(f)-(i) present the detailed results for Samples 5-8, exhibiting the worst MAE values. More results are listed in Supplement 1, Fig. S4-S7. All samples demonstrate successful reconstruction, affirming the efficacy of the proposed method in achieving accurate solutions for rectangular gratings.

3.1.2 Case of trapezoidal gratings

Subsequently, we conducted an evaluation of the SGNN method with trapezoidal gratings, which represent another prevalent structural type. Trapezoidal gratings are available in four distinct shapes: standard trapezoid, trapezoid with upper rounded corners, trapezoid with lower rounded corners, and sinusoidal shape. Figure 5 presents the reconstruction errors for these samples. The mean values of MAEs for these four structures are 0.23 nm, 0.57 nm, 0.39 nm and 1.14 nm, respectively. For samples with the first three shapes, the MAE is comparable to the UQAE, indicating that approximately 75% of the data points are reconstructed very accurately, featuring errors less than the MAEs. For samples with sinusoidal shape, the UQAEs exceed the MAEs, and even for the worst-case UQAE, 75% of the reconstructed data points exhibit errors of less than 3.3 nm, which is also considered a desired outcome.

 figure: Fig. 5.

Fig. 5. Results of SGNN for trapezoidal gratings. (a) depicts the MAEs and UQAEs between the reconstructed profiles and ground truths; (b)-(i) display detailed results and errors for samples 1-8, with the reconstructed profiles represented by dashed lines and ground truth profiles drawn as solid lines in the top subgraphs.

Download Full Size | PDF

Figures 5(b)-(e) and Supplement 1, Fig. S3 provide the detailed results for Samples 1-4, with MAEs close to the mean values. It is evident that the reconstructed profiles are highly consistent with the ground truths. For samples with the first three shapes, the errors exceeding UQAE are located at the boundary junctions and rounded corners. Despite the errors, the structural profiles, whether sloping edges or rounded corners, can be accurately reconstructed. The MAEs of samples with sinusoidal shape are much larger due to more curved edges, however, it is still feasible to obtain relatively reliable results. The reconstructed profiles exhibit a rectilinear shape at its top, this is because the top part of the designed generic profile model is a trapezoid. This situation can be alleviated by changing the constraint conditions of the geometric parameters. Figures 5(f)-(i) present the detailed results for Samples 5-8, exhibiting the worst MAE values. It appears that the curves bend less accurately for Samples 6 and 8. This may be effectively improved by increasing the training data. More results are listed in Supplement 1, see Figs. S8-S11. The outcomes of this extensive testing underscore the generalization of the proposed method in effectively reconstructing trapezoidal samples.

3.2 Experimental demonstration

To further assess the robustness of the proposed SGNN in practical implementation, a trapezoidal Si grating with a period of 125 nm was fabricated. The design dimensions of the sample are as follows: a top width of 38 nm, a height of 92 nm, and a sidewall angle of 80°. The experiment was conducted using a dual-rotating compensator Mueller matrix ellipsometer (ME-L, Wuhan Eoptics Technology Co.). The beam diameter used in the experiments is 200 µm. The two arms and the sample stage of the ME-L can be rotated to change the incidence and azimuthal angles in experiments. The accuracy and repeatability of ME-L are 0.13 nm and 0.001 nm, respectively, tested on a standard SiO2 film with a thickness of 96.75 nm. The experimental configurations were consistent with the previous text: the incident angle of the experiment was set to 65°, and the azimuth angle was set to 60°. The instrument acquired the MM spectra of the sample and then we performed interpolation to generate data within the 250 − 800 nm spectral range, consistent with the settings in the training dataset.

Nonlinear regression methods are commonly employed in optical scatterometry as a precise solution for nanostructure reconstruction. To illustrate the practicality and accuracy of the proposed SGNN, we compared its results with those obtained using a widely adopted nonlinear regression method based on the Levenberg-Marquardt (LM) algorithm. Here, we present the results of four geometric models with well-fitted spectra, including standard trapezoid, trapezoid with upper rounded corners, trapezoid with lower rounded corners, and trapezoid with upper and lower rounded corners. Table 2 gives the extraction results, and the quality of the results was assessed by the mean square error (MSE) between the measured and calculated MM spectra, defined by

$$\textrm{MSE} = \frac{1}{m}\sum\nolimits_{i = 1}^m {{{({M_i^{\textrm{mea}} - M_i^{\textrm{cal}}} )}^2}}$$
where $M_i^{\textrm{mea}}$ and $M_i^{\textrm{cal}}$ are the measured and calculated MM spectral data points, respectively, and m represents the number of data points. Considering both the MSE and the number of fitting parameters, the result based on trapezoid with lower rounded corners was deemed more favorable and served as the reference for subsequent discussions.

Tables Icon

Table 2. Results based on different geometric models

We also conducted a comparative analysis between the proposed SGNN method and the traditional MDNN method. Following the analysis of nonlinear regression method, the trapezoid with lower rounded corners, as depicted in Fig. S1 and characterized by four parameters, was chosen as the geometric model. Table S1 provides the ranges and conditions for the variation of the geometric parameters in the training dataset. A neural network with the same hidden layer architecture as SGNN was constructed, using MM spectra as input to predict geometric parameters. These parameters were normalized during training, and a Tanh function was applied after the final layer to constrain the outputs within reasonable bounds. The training dataset's scale and settings remained identical to those of SGNN.

The measured MM spectra were input into the SGNN, and the profile smoothing algorithm was applied to process the outputs for obtaining the reconstructed profile. The threshold ɛ for the profile smoothing algorithm was set to 0.4%, with all other settings consistent with those in Section 3.1. Figure 6(a) presents the reconstruction results of the prepared sample using different methods, with the outcome of the nonlinear regression method serving as the reference ground truth, and Fig. 6(b) shows the AEs of profile data points. The traditional MDNN exhibited a larger deviation with a MAE of 6.1 nm, primarily attributed to experimental noise and instrumental errors (e.g., errors in the angle of incidence, azimuth, etc.) that can alter the spectral data. And optimizing the measurement configurations is also an important way to improve measurement accuracy, as some of them may be more sensitive to small deviations in shapes. In contrast, SGNN achieved a better match between the reconstructed profile and the ground truth, with a MAE of 2.5 nm. Larger errors were distributed at the boundary junctions and sloping edges, similar to the error distribution observed in simulated tests, but with a greater error magnitude due to the gap between experimental and simulated data. Figure 7 depicts the measured and calculated MM spectra. It was evident that the MM spectra obtained by the nonlinear regression method exhibited the best agreement with the measured spectra, achieving an MSE of 1.32 × 10−3. The MM spectra obtained through the SGNN method followed a similar trend as the measured spectra, albeit with a slightly larger MSE compared to the nonlinear regression method, registering at 3.13 × 10−3. Conversely, the MM spectra obtained by the MDNN exhibited a considerably larger deviation from the measured spectra, yielding a higher MSE of 9.42 × 10−3. Experimental results demonstrated that the proposed SGNN enabled the reconstruction of samples independent of predefined geometric model, achieved a solution comparable to nonlinear regression method, and outperformed the MDNN by showing more robustness under the same training setup.

 figure: Fig. 6.

Fig. 6. Experimental result. (a) Comparison of profiles reconstructed by nonlinear regression (denoted as NR) method, SGNN and MDNN, respectively. (b) AEs of SGNN and MDNN results.

Download Full Size | PDF

 figure: Fig. 7.

Fig. 7. Comparison of measured MM spectra with MM spectra calculated using the results of nonlinear regression (denoted as NR) method, SGNN and MDNN, respectively.

Download Full Size | PDF

4. Conclusion

In conclusion, we have proposed the SGNN for nanostructure reconstruction. Drawing inspiration from the common sketching style of line drawing, we created a generic profile model by arranging lines in space according to the diverse potential shapes of samples. We fully leveraged the computational power advantage of neural networks, allowing them to learn scattering knowledge and sketching techniques from the data simulated by using the EM solver based on the generic profile model. Predicting profile instead of outputting parameters allows us to reduce the influence of noise. Therefore, we have also proposed a profile smoothing algorithm for one-dimensional gratings. By feeding the output of the SGNN in response to a set of measured MM spectra into the profile smoothing algorithm, we could obtain the reconstruction result. Simulations conducted on a series of grating have confirmed that the proposed method has strong generalization, handling various sample with different structures unseen in the training data, and can achieve accurate solutions. In other word, it enables both classification and structural reconstruction tasks. Further experiments have demonstrated that SGNN is superior to MDNN in term of robustness and can yield reliable results that are close to that of the nonlinear regression method.

In addition, as a prospective, we can make it possible to reconstruct samples with more complex structures, not limited to convex symmetric gratings, by modifying the generic profile model, e.g., adding trapezoids, adjusting the parameter ranges, setting trapezoids to asymmetric, etc. Moreover, using the present generic profile model, SGNN is capable of measuring two-dimensional cylindrical structures. Furthermore, by creating a generic profile model in three-dimensional space, SGNN has the potential to be extended to reconstruct other two-dimensional nanostructures. The developed method provides a completely novel insight into solving the nanostructure reconstruction task.

Funding

National Natural Science Foundation of China (62175075, 52022034, 52130504); Innovation Project of Optics Valley Laboratory (OVL2023PY003).

Disclosures

The authors declare that there are no conflicts of interest related to this article.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Supplemental document

See Supplement 1 for supporting content.

References

1. H. Fujiwara, Spectroscopic Ellipsometry: Principles and Applications (John Wiley & Sons, 2007).

2. N. G. Orji, M. Badaroglu, B. M. Barnes, et al., “Metrology for the next generation of semiconductor devices,” Nat. Electron. 1(10), 532–547 (2018). [CrossRef]  

3. Z. Ma and D.G. Seile, Metrology and Diagnostic Techniques for Nanoelectronics (Pan Stanford, 2017).

4. J. Zhu, J. Liu, T. Xu, et al., “Optical wafer defect inspection at the 10 nm technology node and beyond,” Int. J. Extreme Manuf. 4(3), 032001 (2022). [CrossRef]  

5. A. F. Herrero, F. Scholze, G. Dai, et al., “Analysis of line-edge roughness using EUV scatterometry,” Nanomanuf. Metrol. 5, 149–158 (2022). [CrossRef]  

6. B. K. Minhas, S. A. Coulombe, S. S. H. Naqvi, et al., “Ellipsometric scatterometry for the metrology of sub-0.1-µm-linewidth structures,” Appl. Opt. 37(22), 5112–5115 (1998). [CrossRef]  

7. A.C. Diebold, Handbook of Silicon Semiconductor Metrology (CRC Press, 2001).

8. H. T. Huang, W. Kong, and F. L. Terry, “Normal-incidence spectroscopic ellipsometry for critical dimension monitoring,” Appl. Phys. Lett. 78(25), 3983–3985 (2001). [CrossRef]  

9. X. Chen and S. Liu, “Optical scatterometry for nanostructure metrology,” in Metrology. Precision manufacturing, W. Gao, ed. (Springer, 2019).

10. Z. Fan, X. Hu, and R. X. Gao, “Indirect measurement methods for quality and process control in nanomanufacturing,” Nanomanuf. Metrol. 5(3), 209–229 (2022). [CrossRef]  

11. V. F. Paz, S. Peterhnsel, K. Frenner, et al., “Solving the inverse grating problem by white light interference Fourier scatterometry,” Light: Sci. Appl. 1(11), e36 (2012). [CrossRef]  

12. X. Chen, S. Liu, C. Zhang, et al., “Improved measurement accuracy in optical scatterometry using correction-based library search,” Appl. Opt. 52(27), 6726–6734 (2013). [CrossRef]  

13. J. Zhu, S. Liu, X. Chen, et al., “Robust solution to the inverse problem in optical scatterometry,” Opt. Express 22(18), 22031–22042 (2014). [CrossRef]  

14. Y. LeCun, Y. Bengio, and G. Hinton, “Deep learning,” Nature 521(7553), 436–444 (2015). [CrossRef]  

15. J. Chai and A. Li, “Deep learning in natural language processing: a state-of-the-art survey,” in 2019 International Conference on Machine Learning and Cybernetics (ICMLC) (2019), pp. 1–6.

16. S. Robert, A. M. Ravaud, S. Reynaud, et al., “Experimental characterization of subwavelength diffraction gratings by an inverse-scattering neural method,” J. Opt. Soc. Am. A 19(12), 2394–2402 (2002). [CrossRef]  

17. S. Wei and L. Li, “Measurement of photoresist grating profiles based on multiwavelength scatterometry and artificial neural network,” Appl. Opt. 47(13), 2524–2532 (2008). [CrossRef]  

18. F. Z. Sabit, R. Stéphane, E. K. Ismail, et al., “Analysis and detection of an incorrect profile shape in a classical scatterometric process,” Eur. Phys. J. Appl. Phys. 76(3), 31001 (2016). [CrossRef]  

19. J. S. M. Madsen, S. A. Jensen, J. Nygård, et al., “Replacing libraries in scatterometry,” Opt. Express 26(26), 34622–34632 (2018). [CrossRef]  

20. M. Godi Tchéré, S. Robert, Z. S. Fawzi, et al., “Reconstruction of a complex profile shape by weighting basic characterization results for nanometrology,” Appl. Opt. 58(22), 6118–6125 (2019). [CrossRef]  

21. T. Li, A. Chen, L. Fan, et al., “Photonic-dispersion neural networks for inverse scattering problems,” Light: Sci. Appl. 10(1), 154 (2021). [CrossRef]  

22. H. Kwak and J. Kim, “Semiconductor Multilayer Nanometrology with Machine Learning,” Nanomanuf. Metrol. 6(1), 15 (2023). [CrossRef]  

23. S. Liu, X. Chen, T. Yang, et al., “Machine learning aided solution to the inverse problem in optical scatterometry,” Measurement 191, 110811 (2022). [CrossRef]  

24. I. Gereige, S. Robert, S. Thiria, et al., “Recognition of diffraction-grating profile using a neural network classifier in optical scatterometry,” J. Opt. Soc. Am. A 25(7), 1661–1667 (2008). [CrossRef]  

25. J. Zhu, S. Liu, C. Zhang, et al., “Identification and reconstruction of diffraction structures in optical scatterometry using support vector machine method,” J. Micro/Nanolith. MEMS MOEMS 12(1), 013004 (2013). [CrossRef]  

26. H. Fujiwara and R. W. Collins, Spectroscopic Ellipsometry for Photovoltaics (Springer, 2018).

27. T. Novikova, A. D. Martino, S. B. Hatit, et al., “Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics,” Appl. Opt. 45(16), 3688–3697 (2006). [CrossRef]  

28. S. Liu, X. Chen, and C. Zhang, “Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology,” Thin Solid Films 584, 176–185 (2015). [CrossRef]  

29. M. Korde, S. Kal, C. Alix, et al., “Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry,” J. Vac. Sci. Technol. B 38(2), 024007 (2020). [CrossRef]  

30. M. G. Moharam, D. A. Pommet, E. B. Grann, et al., “Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach,” J. Opt. Soc. Am. A 12(5), 1077–1086 (1995). [CrossRef]  

31. D. Kingma and J. Ba, “Adam: A Method for Stochastic Optimization,” arXiv, arXiv:1412.6980 (2014). [CrossRef]  

Supplementary Material (1)

NameDescription
Supplement 1       Supplement 1

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1.
Fig. 1. Deep learning algorithms for nanostructure reconstruction in optical scatterometry. (a) Schematic overview of the reconstruction using the traditional deep learning method. (b) Overall workflow of the sketch-guided neural network for extracting structural profiles. (c) Generation of the training data inspired by sketch drawing.
Fig. 2.
Fig. 2. Architecture of the SGNN. These convolutional layers have a kernel size of 3, zero padding size of 1 and stride of 1. Before entering the fully connected layers, the extracted features are flattened into a 1 × 672 one-dimensional vector.
Fig. 3.
Fig. 3. Flowchart of the proposed automatic profile smoothing algorithm. (a)(b) Effect of window length on frequency-domain low-pass filtering and moving median filtering, respectively, where the black line indicates the input profile and red line represents smoothed profile; (c) Schematic diagram of the first smoothing process for removing high frequency fluctuations from a profile; (d) Schematic diagram of the second smoothing process to remove sub-high frequency fluctuations from a profile.
Fig. 4.
Fig. 4. Results of SGNN for rectangular gratings. (a) depicts the MAEs and UQAEs between the reconstructed profiles and ground truths; (b)-(i) display detailed results and errors for samples 1-8, with the reconstructed profiles represented by dashed lines and ground truth profiles drawn as solid lines in the top subgraphs.
Fig. 5.
Fig. 5. Results of SGNN for trapezoidal gratings. (a) depicts the MAEs and UQAEs between the reconstructed profiles and ground truths; (b)-(i) display detailed results and errors for samples 1-8, with the reconstructed profiles represented by dashed lines and ground truth profiles drawn as solid lines in the top subgraphs.
Fig. 6.
Fig. 6. Experimental result. (a) Comparison of profiles reconstructed by nonlinear regression (denoted as NR) method, SGNN and MDNN, respectively. (b) AEs of SGNN and MDNN results.
Fig. 7.
Fig. 7. Comparison of measured MM spectra with MM spectra calculated using the results of nonlinear regression (denoted as NR) method, SGNN and MDNN, respectively.

Tables (2)

Tables Icon

Table 1. Varying ranges of the six parameters for training data

Tables Icon

Table 2. Results based on different geometric models

Equations (2)

Equations on this page are rendered with MathJax. Learn more.

MAE = 1 n i = 1 n | p i y i | ,
MSE = 1 m i = 1 m ( M i mea M i cal ) 2
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.