Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

High-performance GeSn photodetector and fin field-effect transistor (FinFET) on an advanced GeSn-on-insulator platform

Open Access Open Access

Abstract

We report the first demonstration of high-performance GeSn metal-semiconductor-metal (MSM) photodetector and GeSn p-type fin field-effect transistor (pFinFET) on an advanced GeSn-on-insulator (GeSnOI) platform by complementary metal-oxide-semiconductor (CMOS) compatible processes. The detection range of GeSn photodetector is extended beyond 2 µm, with responsivities of 0.39 and 0.10 A/W at 1550 nm and 2003 nm, respectively. Through the insertion of an ultrathin Al2O3 Schottky-barrier-enhancement layer, the dark current IDark of the GeSn photodetector is suppressed by more than 2 orders of magnitude. An impressive IDark of ~65 nA was achieved at an operating voltage of 1.0 V. A frequency response measurement reveals the achievement of a 3-dB bandwidth of ~1.4 GHz at an illumination wavelength of 2 µm. GeSn pFinFET with fin width (Wfin) scaled down to 15 nm was also fabricated on the GeSnOI platform, exhibiting a small subthreshold swing (S) of 93 mV/decade, a high drive current of 176 µA/µm, and good control of short channel effects (SCEs). This work paves the way for realizing compact, low-cost, and multi-functional GeSn-on-insulator opto-electronic integrated circuits.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Stacking of semiconductor and insulator thin films is one of the important approaches used to realize monolithic three-dimensional (3D) integration of circuits, which can raise the degree of complexity of multifunctional circuits that comprise electronic and optoelectronic devices. In addition, the synthesis of semiconductor on insulators enables the integration of semiconductor devices on multi-functional glass displays or on flexible substrates. Integration on flexible substrates are needed for paper-like devices [1], or bio-integrated devices [2,3] as they require unique features such as wearability and biocompatibility.

The interest in germanium-tin alloy (GeSn or Ge1-xSnx) has significantly increased recently as it has tunable direct band gap [4–7] and higher hole mobility than those of Si and Ge [8–14]. As a group IV material, high crystalline quality GeSn can be epitaxially grown on Si substrate, making it an ideal material for co-integration of high speed complementary metal-oxide-semiconductor (CMOS) logic and Si-compatible photonics in the short-wave infrared range (SWIR, wavelength λ~1-3 μm) [15–17]. This light spectrum range finds applications in a variety of areas, including chemical and biological sensing, night vision, medical diagnostics, and fiber-optic telecommunications [17–19]. The study of GeSn-on-insulators (GeSnOIs) has been accelerated recently, due to its potential application in 3D integration and in flexible/wearable electronics and optoelectronics. However, most of the research effort has been focused on the formation and characterization of the GeSn film on insulator [20–25]. Unlike the Silicon-Germanium-on-insulator (SGOI) [26], Germanium-on-insulator (GeOI) [27,28], and III-V-on-insulator (III-VOI) [29,30] platforms, where there are many reports on realization of electronic and photonic devices, there are few reports on GeSnOI devices [23], and device performance data on GeSnOI platform is limited.

In this work, both GeSn metal-semiconductor-metal (MSM) photodetector and GeSn p-type fin field-effect transistor (pFinFET) were fabricated separately on a novel GeSnOI platform. The high crystalline quality GeSnOI platform was formed by a direct wafer bonding technique. The entire process flow is CMOS compatible, with the processing temperatures kept below 400 °C in order to prevent Sn segregation or precipitation [31]. The low process temperature enables either “electronic-first and photonic-last” or “photonic-first and electronic-last” integration approach for monolithically fabricating the GeSn photodetector and CMOS integrated circuit. Through insertion of an Al2O3 Schottky-barrier-enhancement layer beneath the metal contact, GeSn MSM photodetector with low dark current, high responsivity and gigahertz bandwidth was realized. Besides the high performance GeSn photodetector, GeSn pFinFETs with fin width (Wfin) down to 15 nm were also fabricated on GeSnOI platform. Small subthreshold swing (S) of 93 mV/decade, high drive current of 176 µA/µm, and good control of short channel effects (SCEs) were obtained at fin width (Wfin) of 15 nm.

2. Device design and fabrication

Figure 1 shows a schematic of a GeSn MSM photodetector and a GeSn FinFET on a GeSnOI platform. This illustration shows a way to monolithically integrate electronic and photonic devices on the same platform. A direct wafer bonding technique was used to form the GeSnOI platform as described in [25]. The donor wafer is a ~100 nm-thick GeSn layer on a ~1 µm-thick Ge buffer grown by chemical vapor deposition (CVD) on a 12-inch Si substrate. After growth, the wafer was cut into 2-inch piece, and bonded to a Si wafer. High-resolution x-ray diffraction reciprocal space mapping shows that the GeSn layer is fully strained to the Ge buffer, with the substitutional Sn composition of ~8.0% and the compressive strain of ~0.9%. After wafer bonding, the backside Si from the donor wafer was selectively etched with respect to the Ge buffer using tetramethylammonium hydroxide (TMAH) solution (25% by weight). The Ge buffer layer was then thinned down using SF6-based inductively coupled plasma (ICP).

 figure: Fig. 1

Fig. 1 Schematic of a GeSn MSM photodetector and a MOSFET formed on GeSn-on-insulator platform. This illustration shows a way to monolithically integrate electronic and photonic devices on the same platform.

Download Full Size | PDF

A MSM photodetector was selected for demonstration due to its ease of process integration (no specific doping profile required), high responsivity (optical gain) and high-speed operation (low capacitance). A ~90-nm-thick Ge layer (top portion of Ge buffer layer) was left on the GeSn surface, i.e. the Ge buffer was not completely removed. After 100-nm-thick SiO2 deposition, the contact region was opened by dry etching followed by wet etching using 1:50 diluted HF solution. A ~1.5 nm-thick Al2O3 layer was then deposition by atomic layer deposition (ALD) at a process temperature of 250 °C. After deposition of ~150 nm Al, a lift-off process completes the fabrication of the finger-type MSM structure. A GeSn MSM photodetector without Al2O3 interlayer was also fabricated for comparison. Figure 2(a) shows the top-view SEM image of a GeSn MSM photodetector with a ground-signal-ground (GSG) electrode configuration. The scanning transmission electron microscopy (STEM) image cut across the metal finger shows the defect-free Ge and GeSn layers on SiO2 [Fig. 2(b)], with thickness of ~90 nm and ~100 nm, respectively. No void was observed at the SiO2/Si bonding interface, indicating that a seamless bond was achieved. Clear lattice fringes can be observed in Ge and GeSn layers [Fig. 2(c) and 2(d)], with an ultrathin Al2O3 layer inserted between Al and Ge.

 figure: Fig. 2

Fig. 2 (a) Top-view SEM and (b) cross-sectional STEM images of a GeSn MSM photodetector cut across the AA’. The GSG contact pads were formed on SiO2. HRTEM images of (c) Al/Al2O3/Ge contact and (d) GeSn/SiO2 interface. Crystalline Ge and GeSn layer were formed on SiO2. A clear Al2O3 interlayer can be observed between Al and Ge. (e) Tilted-view SEM and (f) cross-sectional TEM image of a GeSn FinFET (Wfin = 30 nm) cut across the BB’. Well-defined GeSn fin structure can be observed. (g) HRTEM image shows crystalline GeSn fin on SiO2 with a smooth sidewall.

Download Full Size | PDF

To control the SCEs and off-state leakage in transistor with extremely scaled dimensions, the multi-gate transistor architecture formed on semiconductor-on-insulator substrate is very attractive. The GeSn pFinFET with fin width (Wfin) down to 15 nm was fabricated on the GeSnOI platform. After removing the Ge buffer, the GeSn layer was thinned down to ~35 nm using chemical mechanical polishing (CMP). Smooth GeSnOI sample was formed with a surface root-mean-square roughness of ~0.2 nm. The channel region was then defined by electron beam lithography (EBL). The source/drain (S/D) regions were formed by Boron implantation followed by dopant activation at 400 °C for 60 s. After GeSn fin formation by dry etching, the sample was immediately loaded into an ultra-high vacuum chamber for Si passivation at 370 °C. Gate stack was then formed by deposition of 4 nm-thick HfO2 using ALD and deposition of Mo/W using Sputter. Gate etch process was performed using F-based plasma etch. Finally, Ni was deposited at the S/D contact region to complete the device fabrication. Tilted-view SEM image in Fig. 2(e) shows a fabricated GeSn pFinFET. XTEM image of a GeSn pFinFET cut across the gate line shows smooth GeSn fin sidewall [Fig. 2(f)], with Wfin of ~30 nm and fin height (Hfin) of 35 nm. No Sn segregation or precipitation can be observed after device fabrication [Fig. 2(g)].

3. Results and discussion

3.1 Dark current reduction in GeSn MSM photodetectors

Conventional GeSn MSM photodetectors suffer from a large dark current, which leads to poor signal-to-noise ratio [32–35]. The as-grown GeSn is unintentional p-type doped due to a high concentration of existing vacancies formed during low temperature growth [36,37]. For metal/p-Ge contacts, the Fermi level is pinned at ~0.1 eV above the valence band edge of Ge [38]. Incorporating Sn into Ge shifts up the valence band edge energy [39], which may further decrease the hole Schottky barrier height (ϕbh), leading to large dark current in GeSn MSM photodetectors. The dark current can be effectively suppressed by increasing ϕbh (Fermi level de-pinning) through insertion of a large-bandgap material, such as amorphous Si or SiC [40,41], between the metal contact and semiconductor interface. However, the photocurrent was also significantly reduced. It was reported that ϕbh can be effectively increased by inserting an ultrathin dielectric layer, such AlOx between the metal and Ge [42]. If the dielectric layer is thin enough, carriers can tunnel through it, while still physically separating the metal from the Ge, and alleviating the Fermi-level pinning.

Figure 3(a) plots the room-temperature current-voltage (Idark-V) characteristics for the fabricated GeSn MSM photodetectors with and without Al2O3 interlayer between the metal and Ge. At an applied bias Vbais of 1.0 V, high dark current IDark of ~10 µA was observed in a control photodetector with 2 μm interdigitated fingers, 1 μm spacings and ~10 × 11 μm2 active areas. A significant dark current suppression by > 2 orders of magnitude can be achieved by inserting an ultrathin Al2O3 layer. At Vbais of 1.0 V, the IDark of the GeSn photodetector was measured to be ~65 nA, which is comparable with those of the state-of-the-art GeSn p-i-n photodetectors [43,44]. Based on the thermionic emission model, the IDark flowing through the MSM photodetector has a strong dependence on temperature T and ϕbh, and can be described by the following expression

Idark=Ap*T2exp(qφbhkT),
where A*p is the Richardson’s constant for holes, q is the elementary charge, k is the Boltzmann’s constant. The IDark of the GeSn MSM photodetector was characterized at various T ranging from 250 to 350 K with an incremental step of 20 K. Figure 3(b) shows the Arrhenius plot of Idark with and without Al2O3 interlayer for the extraction of ϕbh. Under a constant Vbias of 0.5 V, the ϕbh increased from ~0.12 eV to ~0.32 eV after inserting a 1.5 nm-thick Al2O3 layer.

 figure: Fig. 3

Fig. 3 (a) Dark current was reduced by more than two orders of magnitude by inserting an Al2O3 interlayer between Al and Ge. (b) Dark current at various temperatures with and without Al2O3 interlayer. The ϕbh can be effectively increased with an Al2O3 interlayer.

Download Full Size | PDF

3.2 Optical characterization of the GeSn MSM photodetectors

GeSn alloy has smaller bandgap and higher absorption coefficient than those of Germanium (Ge), making it a very promising candidate for photodetection in SWIR range or MIR range [32–35,43–47]. The photoresponse characteristics of the GeSn MSM photodetectors were measured in the wavelength λ range of 1310 − 2003 nm. The light source comprises compact tunable lasers at 1310 − 1350 nm, 1510 − 1630 nm, and three distributed feedback laser diodes at 1742, 1877, and 2003 nm. Figure 4(a) shows the photocurrent Iphoto of the GeSn MSM photodetector with Al2O3 interlayer under illumination at various wavelengths. The active area of the detector with 2 μm interdigitated fingers and 1 μm spacings is 40 × 35 μm2. As compared to Ge photodetectors, the GeSn MSM photodetector in this work exhibits an extended detection range beyond 2 μm.

 figure: Fig. 4

Fig. 4 (a) Photocurrent of the GeSn MSM photodetector with Al2O3 interlayer. The arrow points in the direction of increasing wavelengths from 1310 to 2003 nm. (b) Responsivity spectra of GeSn MSM photodetectors with and without Al2O3 interlayer.

Download Full Size | PDF

The responsivity was extracted by taking into account the shadowing of the metal contacts on the top of the GeSn MSM photodetector [Fig. 4(b)]. For the detector without Al2O3 interlayer, high responsivities of 0.39 and 0.10 A/W can be observed at 1550 and 2003 nm, respectively. Although the absorption layer is thin, the achieved responsivities at 1550 and 2003 nm is among the highest responsivities reported on GeSn photodetectors [47]. However, while inserting an Al2O3 layer, the responsivity was reduced by ~2 times. The responsivity R of the MSM photodetector follows the expression [45]

R=G(qλhc)(1r)ζ[1exp(αλd)],
where G is the optical gain, r is the optical power reflectance at the surface, ζ is the fraction of electron-hole pairs that contribute to the detector current, αλ the absorption coefficient of the material, d the photodetector thickness. The decrease of responsivity may be attributed to two effects. Firstly, the inserted Al2O3 layer not only reduces the hole dark current, but may also obstruct the collection of photo-generated carriers (decreasing ζ). Secondly, it should be noted that the photocurrent that is directly generated from the absorption of light is generally magnified by the presence of gain G in MSM photodetector. The ϕbh is very small for the GeSn MSM photodetector without Al2O3 interlayer. If the transit time (ttr) of photogenerated carriers is lower than the lifetime (τ), photoconductor gain (τ/ttr) exists. However, while inserting an Al2O3 layer increases ϕbh, the MSM photodetector works as Schottky diode, which may lead to decreased optical gain. The absorption coefficient αλ of the strained Ge0.92Sn0.08 alloys can be extracted to be ~1920 cm−1 at 2003 nm using αλ = 4πk/λ, where k is the extinction coefficient extracted from ellipsometry measurement [48]. Assuming that each absorbed photon generates a single electron-hole pair, and each carrier is collected with 100% efficiency. Without gain, the maximum responsivity at 2003 nm can be calculated to be ~0.03 A/W according to Eq. (2). Since the measured responsivities are higher than this value, the gain exists in the GeSn MSM detectors with and without Al2O3 interlayer.

Noise-equivalent power (NEP) is one of the key figures-of-merit of a photodetector, which is often used to quantify the sensitivity of a detector. It is often defined as the signal power that gives a signal-to-noise ratio of one for a bandwidth of 1 Hz. The noise of MSM photodetector mainly consists of four parts: 1/f noise, thermal noise (Johnson noise), and shot noise. The 1/f noise decays as the operating frequency increases, and is not significant for measurement conditions above 1 Hz. Therefore, NEP can be expressed as [49,50]

NEP=(4kT/R0+2qIdark)R,
where R0 is a resistance that can be extracted by taking dVbias/dIdark at 3 V. For the photodetector with and without Al2O3 interlayer, the thermal-noise-limited NEP (λ = 1550 nm, Vbias = 3 V) can be extracted to be 4.0 × 10−13 and 2.4 × 10−12 W∙Hz-1/2, respectively, and the dark-current-limited NEP is 1.8 × 10−12 and 1.2 × 10−11 W∙Hz-1/2, respectively. The NEP is reduced by more than 6 times through inserting an Al2O3 layer. The NEP of the GeSn photodetector can be further improved by increasing Sn composition or the thickness of GeSn layer, by implementing the lateral-illuminated (waveguide) structure, or by inserting a barrier layer to reduce the dark current without degradation of the photocurrent. These are subjects for future work.

3.3 Dynamic behavior of the GeSn MSM photodetectors

One main advantage of the MSM photodetector is its low capacitance. It is possible to realize the MSM photodetectors with high responsivity and high speed at the same time. The frequency response of the GeSn MSM photodetector was also investigated. The details of the measurement system are described in [43]. The light source was a fiber-coupled Fabry-Pérot laser diode (Thorlabs FPL2000S) with λ = 2 μm. The measured 3-dB bandwidth f3dB is 1.4 GHz and 485 MHz for the photodetectors with and without Al2O3 interlayer at a Vbias of 3 V, respectively (Fig. 5). The active area of the photodetector is 10 × 11 μm2 with 2 μm interdigitated fingers and 1 μm spacings.

 figure: Fig. 5

Fig. 5 RF measurement of the GeSn MSM photodetectors with and without Al2O3 under illumination at 2 μm. The 3-dB bandwidth of the GeSn MSM photodetector with Al2O3 was measured to be 1.4 GHz.

Download Full Size | PDF

The bandwidth of the MSM photodetectors determined by the transit time and the resistance-capacitance (RC) time constant. Using the conformal mapping approach, the capacitance of the GeSn MSM photodetectors can be simply estimated to be < 10 fF [51]. Then the bandwidth of the GeSn MSM photodetector should not be limited by the RC time constant, but limited by the transit time. It was reported that the background doping (p-type) in as-grown GeSn is high due to low growth temperature growth [36]. The absorption layer cannot be fully depleted at low bias. At high bias, the device is operated in the photoconductive mode, and the bandwidth becomes limited by the lifetime of photogenerated carriers. Here, there is a tradeoff between the optical gain (responsivity) and dynamic behavior of a MSM photodetector. To achieve high response speed, a material with a short carrier lifetime should be used. However, if the carrier lifetime is shorter than the average interelectrode transit time, only a part of the photocarriers will be collected, which tends to decrease responsivity. By tuning the growth technique or growth condition, such as growth temperature, the carrier lifetime in GeSn alloy can be adjusted for various applications.

3.4 Characterization of the GeSn pFinFETs on GeSnOI

As CMOS technologies continuously scale to smaller dimensions, 3D FinFETs have been introduced for advanced technology node beyond 22 nm. To provide better electrostatic control and suppress SCEs, formation of ultrathin fin is needed. GeSn planar MOSFETs on Ge or Ge/Si substrate have been widely investigated due to its higher electron and hole mobilities than that of Ge and Si [8–14]. In this work, GeSn pFinFETs with Wfin down to 15 nm were also fabricated on the GeSnOI platform. Figure 6(a) shows the drain current versus gate voltage (ID-VG) transfer characteristics of a GeSn pFinFET with channel length Lch of 100 nm, Wfin of 15 nm, and Hfin of 35 nm. The ID is normalized by the effective channel width (Weff) which is equal to (2 × Hfin + Wfin). This device shows S of 93 mV/decade and on-state drain current/off-state leakage current (ION/IOFF) ratio of more than 4 orders at VDS of −0.05 V. A very low gate leakage current (IG) of ~8 × 10−5 µA/µm at the gate bias (VG) of −1.2 V is maintained. Small drain-induced barrier lowering (DIBL) of 33 mV/V was obtained, indicating good SCEs control. The ID-VDS output characteristics of the same device are shown in Fig. 6(b). The gate-over-drive (VGVTH) was varied from 0 to −1 V in steps of −0.1 V. Good saturation behavior is observed, with ID of 176 μA/μm at (VGVTH) of −1.0 V and VDS of −1.2 V. Another device with Lch of 100 nm and Wfin of 100 nm was also fabricated. The DIBL significantly increases to more than 200 mV/V, due to poor electrostatic control over the channel with large Wfin.

 figure: Fig. 6

Fig. 6 (a) ID-VG of a GeSn pFinFET with Wfin of 15 nm and Lch of 100 nm that exhibits S of 93 mV/decade at VDS of −0.05 V. (b) ID-VDS of the same device in (a) shows a drive current of 176 µA/µm at |VG-VTH| of 1 V and VDS of −1.2 V.

Download Full Size | PDF

4. Summary

GeSn MSM photodetectors were realized on a GeSnOI platform with detection range extends beyond 2003 nm. High responsivities of 0.39 and 0.10 A/W were achieved at 1550 and 2003 nm, respectively. An Al2O3 interfacial layer was used to increase the Schottky barrier at metal and semiconductor interface, leading to significant dark-current IDark suppression by more than two orders of magnitude. Low IDark of ∼65 nA was achieved at Vbias of 1.0 V, which is comparable to that of the state-of-the-art GeSn photodetectors. The dynamic behavior of the photodetector was also investigated. A 3-dB bandwidth of 1.4 GHz was achieved at 2 µm for the photodetector with the Al2O3 interfacial layer. GeSn pFinFETs were also fabricated on the GeSnOI platform with Wfin down to 15 nm. Good electrical characteristics were achieved, with small subthreshold swing of 93 mV/decade, high drive current of 176 µA/µm, and good control of SCEs. This work opens up the possibility for monolithic integration of high-performance GeSn electronic and photonic devices on insulators.

Funding

National University of Singapore Trailblazer (Grant No. R-263-000-B43-733) and Ministry of Education (MOE) Academic Research Fund (Grant No. R-263-000-B50-112).

Acknowledgments

We thank Dr. Xin Guo at Silicon Technologies, Centre of Excellence (Si-COE) in Nanyang Technological University for the RF measurement of the photodetectors, and thank Dr. Zhihong Liu and Dr. Chongyang Liu for technical discussions on the RF measurement.

References and links

1. G. H. Gelinck, H. E. A. Huitema, E. van Veenendaal, E. Cantatore, L. Schrijnemakers, J. B. van der Putten, T. C. Geuns, M. Beenhakkers, J. B. Giesbers, B. H. Huisman, E. J. Meijer, E. M. Benito, F. J. Touwslager, A. W. Marsman, B. J. van Rens, and D. M. de Leeuw, “Flexible active-matrix displays and shift registers based on solution-processed organic transistors,” Nat. Mater. 3(2), 106–110 (2004). [CrossRef]   [PubMed]  

2. S. Xu, Y. Zhang, L. Jia, K. E. Mathewson, K. I. Jang, J. Kim, H. Fu, X. Huang, P. Chava, R. Wang, S. Bhole, L. Wang, Y. J. Na, Y. Guan, M. Flavin, Z. Han, Y. Huang, and J. A. Rogers, “Soft microfluidic assemblies of sensors, circuits, and radios for the skin,” Science 344(6179), 70–74 (2014). [CrossRef]   [PubMed]  

3. W. Wu, X. Wen, and Z. L. Wang, “Taxel-addressable matrix of vertical-nanowire piezotronic transistors for active and adaptive tactile imaging,” Science 340(6135), 952–957 (2013). [CrossRef]   [PubMed]  

4. J. D. Gallagher, C. L. Senaratne, J. Kouvetakis, and J. Menendez, “Compositional dependence of the bowing parameter for the direct and indirect band gaps in Ge1-ySny alloys,” Appl. Phys. Lett. 105(14), 142102 (2014). [CrossRef]  

5. R. Chen, H. Lin, Y. Huo, C. Hitzman, T. I. Kamins, and J. S. Harris, “Increased photoluminescence of strain-reduced, high-Sn composition Ge1-xSnx alloys grown by molecular beam epitaxy,” Appl. Phys. Lett. 99(18), 181125 (2011). [CrossRef]  

6. A. A. Tonkikh, C. Eisenschmidt, V. G. Talalaev, N. D. Zakharov, J. Schilling, G. Schmidt, and P. Werner, “Pseudomorphic GeSn/Ge(001) quantum wells: examining indirect band gap bowing,” Appl. Phys. Lett. 103(3), 032106 (2013). [CrossRef]  

7. D. Stange, N. von den Driesch, D. Rainko, S. Roesgaard, I. Povstugar, J. M. Hartmann, T. Stoica, Z. Ikonic, S. Mantl, D. Grützmacher, and D. Buca, “Short-wave infrared LEDs from GeSn/SiGeSn multiple quantum wells,” Optica 4(2), 185–188 (2017). [CrossRef]  

8. X. Gong, G. Han, F. Bai, S. Su, P. Guo, Y. Yang, R. Cheng, D. Zhang, G. Zhang, C. Xue, B. Cheng, J. Pan, Z. Zhang, E. S. Tok, D. Antoniadis, and Y. C. Yeo, “Germanium-tin (GeSn) p-channel MOSFETs fabricated on (100) and (111) surface orientations with sub-400 °C Si2H6 passivation,” IEEE Electron Device Lett. 34(3), 339–341 (2013). [CrossRef]  

9. S. Gupta, B. Vincent, D. H. C. Lin, M. Gunji, A. Firrincieli, F. Gencarelli, B. Magyari-Köpe, B. Yang, B. Douhard, J. Delmotte, A. Franquet, M. Caymax, J. Dekoster, Y. Nishi, and K. C. Saraswat, “GeSn channel nMOSFETs: material potential and technological outlook,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2012), pp. 95–96. [CrossRef]  

10. M. Liu, G. Han, Y. Liu, C. Zhang, H. Wang, X. Li, J. Zhang, B. Cheng, and Y. Hao, “Undoped Ge0.92Sn0.08 quantum well PMOSFETs on (001),(011) and (111) substrates with in situ Si2H6 passivation: High hole mobility and dependence of performance on orientation,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2014), pp. 100–101.

11. Y. Liu, J. Yan, H. Wang, Q. Zhang, M. Liu, B. Zhao, C. Zhang, B. Cheng, Y. Hao, and G. Han, “Strained GeSn p-channel metal–oxide–semiconductor field-effect transistors with in situ Si2H6 surface passivation: impact of Sn composition,” IEEE Trans. Electron Dev. 61(11), 3639–3645 (2014). [CrossRef]  

12. D. Lei, W. Wang, Z. Zhang, J. Pan, X. Gong, G. Liang, E.-S. Tok, and Y.-C. Yeo, “Ge0.83Sn0.17 p-channel metal-oxide-semiconductor field-effect transistors: impact of sulfur passivation on gate stack quality,” J. Appl. Phys. 119(2), 024502 (2016). [CrossRef]  

13. Y. S. Huang, C. H. Huang, F. L. Lu, C. Y. Lin, H. Y. Ye, I. H. Wong, S. R. Jan, H. S. Lan, C. W. Liu, Y. C. Huang, H. Chung, C. P. Chang, S. S. Chu, and S. Kuppurao, “Record high mobility (428cm2/V-s) of CVD-grown Ge/strained Ge0.91Sn0.09/Ge quantum well p-MOSFETs,” in Proceedings of IEEE International Electron Devices Meeting (IEEE, 2016), pp. 822–825.

14. G. Han, Y. Wang, Y. Liu, C. Zhang, Q. Feng, M. Liu, S. Zhao, B. Cheng, J. Zhang, and Y. Hao, “GeSn quantum well p-channel tunneling FETs fabricated on Si (001) and (111) with improved subthreshold swing,” IEEE Electron Device Lett. 37(6), 701–704 (2016).

15. Q. Zhang, Y. Liu, J. Yan, C. Zhang, Y. Hao, and G. Han, “Theoretical investigation of tensile strained GeSn waveguide with Si3N4 liner stressor for mid-infrared detector and modulator applications,” Opt. Express 23(6), 7924–7932 (2015). [CrossRef]   [PubMed]  

16. Q. Zhang, Y. Liu, J. Yan, C. Zhang, Y. Hao, and G. Han, “Simulation investigation of tensile strained GeSn fin photodetector with Si3N4 liner stressor for extension of absorption wavelength,” Opt. Express 23(2), 739–746 (2015). [CrossRef]   [PubMed]  

17. S. Su, B. Cheng, C. Xue, W. Wang, Q. Cao, H. Xue, W. Hu, G. Zhang, Y. Zuo, and Q. Wang, “GeSn p-i-n photodetector for all telecommunication bands detection,” Opt. Express 19(7), 6400–6405 (2011). [CrossRef]   [PubMed]  

18. C. Chang, H. Li, C. T. Ku, S. G. Yang, H. H. Cheng, J. Hendrickson, R. A. Soref, and G. Sun, “Ge0.975Sn0.025 320 × 256 imager chip for 1.6-1.9 μm infrared vision,” Appl. Opt. 55(36), 10170–10173 (2016). [CrossRef]   [PubMed]  

19. M. P. Hansen and D. S. Malchow, “Overview of SWIR detectors, cameras, and applications,” Proc. SPIE 6939, 69390I (2008).

20. K. Toko, N. Oya, N. Saitoh, N. Yoshizawa, and T. Suemasu, “70° C synthesis of high-Sn content (25%) GeSn on insulator by Sn-induced crystallization of amorphous Ge,” Appl. Phys. Lett. 106(8), 082109 (2015). [CrossRef]  

21. H. Chikita, R. Matsumura, Y. Kai, T. Sadoh, and M. Miyao, “Ultra-high-speed lateral solid phase crystallization of GeSn on insulator combined with Sn-melting-induced seeding,” Appl. Phys. Lett. 105(20), 202112 (2014). [CrossRef]  

22. M. Kurosawa, N. Taoka, H. Ikenoue, O. Nakatsuka, and S. Zaima, “Large grain growth of Ge-rich Ge1-xSnx (x≈0.02) on insulating surfaces using pulsed laser annealing in flowing water,” Appl. Phys. Lett. 104(6), 061901 (2014). [CrossRef]  

23. Z. Liu, J. Wen, X. Zhang, C. Li, C. Xue, Y. Zuo, B. Cheng, and Q. Wang, “High hole mobility GeSn on insulator formed by self-organized seeding lateral growth,” J. Phys. D Appl. Phys. 48(44), 445103 (2014). [CrossRef]  

24. H. Li, J. Brouillet, A. Salas, X. Wang, and J. Liu, “Low temperature growth of high crystallinity GeSn on amorphous layers for advanced optoelectronics,” Opt. Mater. Express 3(9), 1385–1396 (2013). [CrossRef]  

25. D. Lei, K. H. Lee, S. Bao, W. Wang, B. Wang, X. Gong, C. S. Tan, and Y. C. Yeo, “GeSn-on-insulator substrate formed by direct wafer bonding,” Appl. Phys. Lett. 109(2), 022106 (2016). [CrossRef]  

26. P. Hashemi, T. Ando, K. Balakrishnan, J. Bruley, S. Engelmann, J. A. Ott, V. Narayanan, D. G. Park, R. T. Mo, and E. Leobandung, “High-mobility high-Ge-content Si1-xGex-OI PMOS FinFETs with fins formed using 3D germanium condensation with Ge fraction up to x~0.7, scaled EOT ~8.5 Å and ~10 nm fin width,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2015), pp. 16–17.

27. J. H. Nam, F. Afshinmanesh, D. Nam, W. S. Jung, T. I. Kamins, M. L. Brongersma, and K. C. Saraswat, “Monolithic integration of germanium-on-insulator p-i-n photodetector on silicon,” Opt. Express 23(12), 15816–15823 (2015). [CrossRef]   [PubMed]  

28. H. Wu, W. Luo, H. Zhou, M. Si, J. Zhang, and P. D. Ye, “First experimental demonstration of Ge 3D FinFET CMOS circuits,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2015), pp. 58–59. [CrossRef]  

29. M. Pu, L. Ottaviano, E. Semenova, and K. Yvind, “Efficient frequency comb generation in AlGaAs-on-insulator,” Optica 3(8), 823–826 (2016). [CrossRef]  

30. V. Djara, V. Deshpande, E. Uccelli, N. Daix, D. Caimi, C. Rossel, M. Sousa, H. Siegwart, C. Marchiori, J. M. Hartmann, K. T. Shiu, C. W. Weng, M. Krishnan, M. Lofaro, R. Steiner, D. Sadana, D. Lubyshev, A. Liu, L. Czornomaz, and J. Fompeyrine, “An InGaAs on Si platform for CMOS with 200 mm InGaAs-OI substrate, gate-first, replacement gate planar and FinFETs down to 120 nm contact pitch,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2015), pp. 176–177. [CrossRef]  

31. W. Wang, L. Li, Q. Zhou, J. Pan, Z. Zhang, E. S. Tok, and Y. C. Yeo, “Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge1−xSnx layer on Ge(001) substrate,” Appl. Surf. Sci. 321, 240–244 (2014). [CrossRef]  

32. A. Gassenq, F. Gencarelli, J. Van Campenhout, Y. Shimura, R. Loo, G. Narcy, B. Vincent, and G. Roelkens, “GeSn/Ge heterostructure short-wave infrared photodetectors on silicon,” Opt. Express 20(25), 27297–27303 (2012). [CrossRef]   [PubMed]  

33. Z. Liu, H. Cong, F. Yang, C. Li, J. Zheng, C. Xue, Y. Zuo, B. Cheng, and Q. Wang, “Defect-free high Sn-content GeSn on insulator grown by rapid melting growth,” Sci. Rep. 6(1), 38386 (2016). [CrossRef]   [PubMed]  

34. J.-Y. J. Lin, S. Gupta, Y.-C. Huang, Y. Kim, M. Jin, E. Sanchez, R. Chen, K. Balram, D. Miller, J. Harris, and K. Saraswat, “Fabrication of GeSn-on-insulator (GSOI) to enable monolithic 3D co-integration of logic and photonics,” in Proceedings of IEEE Symposium on VLSI Technology (IEEE, 2013), pp. 32–33.

35. B. R. Conley, A. Mosleh, S. A. Ghetmiri, W. Du, R. A. Soref, G. Sun, J. Margetis, J. Tolle, H. A. Naseem, and S. Q. Yu, “Temperature dependent spectral response and detectivity of GeSn photoconductors on silicon for short wave infrared detection,” Opt. Express 22(13), 15639–15652 (2014). [CrossRef]   [PubMed]  

36. M. Oehme, K. Kostecki, K. Ye, S. Bechler, K. Ulbricht, M. Schmid, M. Kaschel, M. Gollhofer, R. Körner, W. Zhang, E. Kasper, and J. Schulze, “GeSn-on-Si normal incidence photodetectors with bandwidths more than 40 GHz,” Opt. Express 22(1), 839–846 (2014). [CrossRef]   [PubMed]  

37. O. Nakatsuka, N. Tsutsui, Y. Shimura, S. Takeuchi, A. Sakai, and S. Zaima, “Mobility behavior of Ge1-xSnx layers grown on silicon-on-insulator substrates,” Jpn. J. Appl. Phys. 49, 04DA10 (2010). [CrossRef]  

38. T. Nishimura, K. Kita, and A. Toriumi, “Evidence for strong Fermi-level pinning due to metal-induced gap states at metal/germanium interface,” Appl. Phys. Lett. 91(12), 123123 (2007). [CrossRef]  

39. L. Low, Y. Yang, G. Han, W. Fan, and Y.-C. Yeo, “Electronic band structure and effective mass parameters of Ge1-xSnx alloys,” J. Appl. Phys. 112(10), 103715 (2012). [CrossRef]  

40. L.-H. Laih, T.-C. Chang, Y.-A. Chen, W.-C. Tsay, and J.-W. Hong, “Characteristics of MSM photodetectors with trench electrodes on p-type Si wafer,” IEEE Trans. Electron Dev. 45(9), 2018–2023 (1998). [CrossRef]  

41. K. W. Ang, S. Y. Zhu, J. Wang, K. T. Chua, M. B. Yu, G. Q. Lo, and D. L. Kwong, “Novel silicon-carbon (Si:C) Schottky barrier enhancement layer for dark-current suppression in Ge-on-SOI MSM photodetectors,” IEEE Electron Device Lett. 29(7), 704–707 (2008). [CrossRef]  

42. T. Nishimura, K. Kita, and A. Toriumi, “A significant shift of Schottky barrier heights at strongly pinned metal/germanium interface by inserting an ultra-thin insulating film,” Appl. Phys. Express 1(5), 051406 (2008). [CrossRef]  

43. Y. Dong, W. Wang, S. Xu, D. Lei, X. Gong, X. Guo, H. Wang, S. Y. Lee, W. K. Loke, S. F. Yoon, and Y. C. Yeo, “Two-micron-wavelength germanium-tin photodiodes with low dark current and gigahertz bandwidth,” Opt. Express 25(14), 15818–15827 (2017). [CrossRef]   [PubMed]  

44. M. Morea, C. E. Brendel, K. Zang, J. Suh, C. S. Fenrich, Y.-C. Huang, H. Chung, Y. Huo, T. I. Kamins, K. C. Saraswat, and J. S. Harris, “Passivation of multiple-quantum-well Ge0.97Sn0.03/Ge p-i-n photodetectors,” Appl. Phys. Lett. 110(9), 091109 (2017). [CrossRef]  

45. T. Pham, W. Du, H. Tran, J. Margetis, J. Tolle, G. Sun, R. A. Soref, H. A. Naseem, B. Li, and S.-Q. Yu, “Systematic study of Si-based GeSn photodiodes with 2.6 µm detector cutoff for short-wave infrared detection,” Opt. Express 24(5), 4519–4531 (2016). [CrossRef]   [PubMed]  

46. Y. H. Huang, G. E. Chang, H. Li, and H. H. Cheng, “Sn-based waveguide p-i-n photodetector with strained GeSn/Ge multiple-quantum-well active layer,” Opt. Lett. 42(9), 1652–1655 (2017). [CrossRef]   [PubMed]  

47. W. Wang, Y. Dong, S. Y. Lee, W. K. Loke, D. Lei, S. F. Yoon, G. Liang, X. Gong, and Y. C. Yeo, “Floating-base germanium-tin heterojunction phototransistor for high-efficiency photodetection in short-wave infrared range,” Opt. Express 25(16), 18502–18507 (2017). [CrossRef]   [PubMed]  

48. V. R. D’Costa, W. Wang, and Y. C. Yeo, “Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy,” J. Appl. Phys. 120(6), 063104 (2016). [CrossRef]  

49. K. Thyagarajan and A. Ghatak, Fiber Optic Essentials (John Wiley & Sons, 2007).

50. P. C. Hobbs, Building Electro-optical Systems: Making it all Work (John Wiley & Sons, 2011).

51. C. Lim and R. A. Moore, “Properties of alternately charged coplanar parallel strips by conformal mapping,” IEEE Trans. Electron Dev. 15(3), 173–180 (1968). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1
Fig. 1 Schematic of a GeSn MSM photodetector and a MOSFET formed on GeSn-on-insulator platform. This illustration shows a way to monolithically integrate electronic and photonic devices on the same platform.
Fig. 2
Fig. 2 (a) Top-view SEM and (b) cross-sectional STEM images of a GeSn MSM photodetector cut across the AA’. The GSG contact pads were formed on SiO2. HRTEM images of (c) Al/Al2O3/Ge contact and (d) GeSn/SiO2 interface. Crystalline Ge and GeSn layer were formed on SiO2. A clear Al2O3 interlayer can be observed between Al and Ge. (e) Tilted-view SEM and (f) cross-sectional TEM image of a GeSn FinFET (Wfin = 30 nm) cut across the BB’. Well-defined GeSn fin structure can be observed. (g) HRTEM image shows crystalline GeSn fin on SiO2 with a smooth sidewall.
Fig. 3
Fig. 3 (a) Dark current was reduced by more than two orders of magnitude by inserting an Al2O3 interlayer between Al and Ge. (b) Dark current at various temperatures with and without Al2O3 interlayer. The ϕbh can be effectively increased with an Al2O3 interlayer.
Fig. 4
Fig. 4 (a) Photocurrent of the GeSn MSM photodetector with Al2O3 interlayer. The arrow points in the direction of increasing wavelengths from 1310 to 2003 nm. (b) Responsivity spectra of GeSn MSM photodetectors with and without Al2O3 interlayer.
Fig. 5
Fig. 5 RF measurement of the GeSn MSM photodetectors with and without Al2O3 under illumination at 2 μm. The 3-dB bandwidth of the GeSn MSM photodetector with Al2O3 was measured to be 1.4 GHz.
Fig. 6
Fig. 6 (a) ID-VG of a GeSn pFinFET with Wfin of 15 nm and Lch of 100 nm that exhibits S of 93 mV/decade at VDS of −0.05 V. (b) ID-VDS of the same device in (a) shows a drive current of 176 µA/µm at |VG-VTH| of 1 V and VDS of −1.2 V.

Equations (3)

Equations on this page are rendered with MathJax. Learn more.

I dark = A p * T 2 exp( q φ bh kT ),
R=G( qλ hc )(1r)ζ[1exp( α λ d)],
NEP= (4kT/ R 0 +2q I dark ) R ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.