Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Cryogenic mid-wave infrared hyperspectral Fabry-Perot filter based on a tensile-strained single-layer subwavelength grating mirror

Open Access Open Access

Abstract

We report here the first demonstration of a cryogenic mid-wave infrared (MWIR) hyperspectral fixed-cavity Fabry-Perot filter based on a suspended tensile-strained single-layer 2-D subwavelength grating (SWG) mirror. Optical design optimization of the 2-D SWG mirror and parameter tolerance study are performed. For the first time, process control of grating air-hole sidewall angle and the grating air-hole fill-factor fabrication error caused by e-beam lithography electron-scattering effect is reported. At 80 K, namely the operating temperature of MWIR photodetectors, the as-fabricated suspended 2-D SWG mirror has achieved excellent surface flatness with a slight center-to-edge bowing of 15 nm over a 1-mm2 large mirror area and a high average reflectivity of 0.97 across a wavelength range of 3.72-5 µm, which represents an unprecedentedly wide fractional bandwidth Δλ/λc of 30%. The cryogenically cooled Fabry-Perot filter exhibits an unrivaled high spectral resolution of 10 nm that far exceeds the optical requirement for MWIR hyperspectral imaging applications.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Infrared hyperspectral imaging sensors collect real-time spectral information of a scene over more than one hundred extremely narrow wavelength bands (δλ/Δλ < 1%, δλ: full-width at half-maximum of pass-band, Δλ: wavelength range), and such instruments can extract reflectivity/emissivity spectra (spectral fingerprint) of materials and provide enhanced target discrimination capability. Nowadays, hyperspectral imaging sensors in the mid-wave infrared (MWIR, 3-5 µm) have found wide applications in medical diagnosis [1,2], painting material identification [3], plant water stress detection [4], industrial gas emission monitoring [5], civil surveillance [6,7], and military target tracking [8]. Conventional MWIR hyperspectral imagers typically use bulky prisms, gratings or interferometers for spectral sampling, and thus they have a significant size, weight and power (SWaP) penalty for in-process monitoring and airborne applications. Instruments based on the integration of MEMS-based tunable Fabry-Perot optical filters and an infrared photodetector focal plane array (FPA) are a recently emerging low-SWaP solution. However, such a module in the MWIR has not been successfully realized, because due to the low signal available to measure in the mid-wave infrared the FPA is required to be cryogenically cooled (80 K) to achieve high signal-to-noise ratio, which poses a significant challenge for the application of MEMS-based tunable Fabry-Perot filters.

MEMS-based tunable Fabry-Perot infrared filters have previously been demonstrated at room temperature (see Table 1). These filters employ multilayer distributed Bragg reflectors (DBRs) as optical mirrors. The drawback of DBR is that any imbalance of the stress in the multilayered coatings can cause top suspended mirror to bow significantly, leading to spectral broadening of the filters. Hence, complex stress balancing techniques or structural designs must be employed to reduce stress induced mirror bowing. Although the reported MWIR filters have achieved relatively high spectral resolutions, the specification of δλ/Δλ< 1% required for hyperspectral imaging has not yet been achieved. To further improve spectral resolution, higher-reflectivity DBRs with more layer-pairs are required. The increased number of DBR layers, however, comes at the cost of increased residual film stress which, compounded by the significant thermal expansion mismatch during cryogenic cooling, will increase the severity of mirror warping and significantly reduce filter spectral resolution, thus making hyperspectral filter fabrication extremely difficult.

Tables Icon

Table 1. Summary of reported MEMS-based tunable Fabry-Perot infrared filters based on DBR mirrors

A new class of mirror, based on a guided-mode resonant two-dimensional subwavelength grating (2-D SWG), shows great potential to replace DBR. A 2-D SWG mirror can realize polarization-independent broadband high reflectivity using a single-layer material, thus simplifying the fabrication of cryogenic MWIR hyperspectral Fabry-Perot filters. Table 2 summarizes the previously reported dielectric 2-D SWG mirrors. It is noted that these mirrors have only obtained either moderate reflectivity of 0.9-0.99 or reflectivity higher than 0.99 over a narrow fractional bandwidth Δλ/λc of less than 15%. Because subwavelength grating is scalable for different wavelengths by simply scaling its geometrical dimensions, so if the reported 2-D SWG mirrors were scaled to the MWIR range of 3-5 µm, this 15% fractional bandwidth would imply a relatively narrow wavelength range of less than 600 nm. Such mirror reflectivity and bandwidth are unsatisfactory for developing MWIR hyperspectral Fabry-Perot filters, and thus need to be further improved. This requires us not only to optimize grating design parameters but also to improve mirror fabrication precision. In previous works, two sources of fabrication errors have been neglected. One is e-beam lithography (EBL) electron scattering within the resist, which can cause overexposure of resist patterns and thus lead to inaccurate definition of grating air-hole fill-factor, the other is reactive ion etching which, being isotropic in nature, can result in non-vertical grating air-hole sidewall angle. Moreover, essential process control has not yet been reported. For realizing cryogenic MWIR hyperspectral Fabry-Perot filters, suspended SWG mirror must also be able to maintain high reflectivity and surface flatness after cooling. However, such 2-D SWG mirrors that are suitable for use in cryogenic environment have not previously been demonstrated, thus far, cryogenic hyperspectral Fabry-Perot filters have not yet been realized in the literature.

Tables Icon

Table 2. Summary of reported dielectric 2-D subwavelength grating mirrors

In this paper, we first develop a cryogenic MWIR 2-D SWG mirror based on a tensile-strained single-layer Si membrane. Optical design optimization of SWG mirror is performed to realize the largest reflectivity and bandwidth ever reported. For the first time, process control of grating air-hole sidewall angle and the grating fill-factor patterning error caused by EBL electron scattering is carried out to ensure precise fabrication of 2-D SWG mirrors. The suspended 2-D SWG mirror has achieved near-unity reflectivity and nanometer-scale surface flatness at 80 K owing to the tensioned single-layer mirror membrane design. In the end, a proof-of-concept cryogenic MWIR hyperspectral fixed-cavity Fabry-Perot filter based on a suspended tensile-strained single-layer 2-D SWG mirror is demonstrated, realizing an ultrahigh spectral resolution for thermal infrared hyperspectral imaging applications.

2. Cryogenic MWIR hyperspectral Fabry-Perot filter structure

As illustrated in Fig. 1, the cryogenic MWIR hyperspectral Fabry-Perot filter is designed to have a bottom fixed DBR mirror and a top suspended 2-D SWG mirror, which are aligned in parallel to form an optical cavity. The top 2-D SWG mirror consists of an array of periodic circular air holes structured in a tensile-strained silicon membrane. The significant advantage of such a top mirror design is that the single-layer mirror does not suffer from the problems of multilayer stress imbalance or cooling-induced thermal expansion mismatch as found in DBRs and, moreover, tensile stress will tend to stretch the mirror membrane and help to resist mirror structural distortion resulting from the thermal expansion of underlying supporting materials and substrate during cryogenic cooling, thus aiding in flattening the suspended mirror without the need of secondary stress-balancing measures. To maximize filter transmission, the bottom DBR is designed to be a 3-pair Si (307 nm)/SiO2 (766 nm) thin-film stack, whose reflectivity shows the closest match to that of the top 2-D SWG mirror. An antireflection coating is designed on the substrate backside to suppress reflection losses at the substrate/air interface.

 figure: Fig. 1.

Fig. 1. Schematics of a cryogenic MWIR hyperspectral fixed-cavity Fabry-Perot filter based on a suspended single-layer 2-D SWG mirror, (a) cross sectional view and (b) top view.

Download Full Size | PDF

3. 2-D SWG mirror design optimization and parameter tolerance study

3.1 Mirror design optimization

Rigorous coupled-wave analysis (RCWA) [24] was employed to simulate the optical reflectivity of 2-D SWG mirror. In RCWA, both electromagnetic field and electric permittivity of grating are represented by Fourier series, and the electromagnetic modes of grating waveguide are calculated using Maxwell’s equations in a matrix form. By matching the boundary conditions for the tangential components of electromagnetic fields at the grating/air interface, reflection and transmission efficiencies are derived. To ensure good simulation accuracy, the experimentally measured wavelength-dependent refractive index and extinction coefficient of LPCVD (low pressure chemical vapor deposition) silicon were used for reflectivity calculations. The refractive index of LPCVD silicon was in the range of 3.46-3.47 and the extinction coefficient was in the order of 10−4 over the MWIR band of 3-5 µm. In this paper, the maximum reflectivity and bandwidth of 2-D SWG mirror were determined by simultaneously scanning grating structural parameters, including grating thickness (T), pitch (P), and air-hole fill-factor, defined as the ratio of air-hole diameter to grating pitch (D/P).

The optimized 2-D SWG mirror was determined to have the following structural parameters: a thickness of 1.23 µm, a pitch of 2.835 µm, and an air-hole diameter-to-pitch ratio of 0.96. Figure 2 presents the simulated reflectivity spectrum of the optimized 2-D SWG mirror in comparison with that of the bottom 3-pair Si/SiO2 DBR. The optimized 2-D SWG mirror can achieve higher than 0.99 reflectivity over a broad wavelength range of 3.72-5 µm. This bandwidth of 1.28 µm accounts for 64% of the entire MWIR band (3-5 µm) and also represents a fractional bandwidth of approximately 30%, which is double the fractional bandwidth previously reported in the literature (∼15%) and is the largest high-reflectivity fractional bandwidth ever reported for a 2-D SWG mirror. Moreover, the expanded view of the high-reflectivity region, presented in the inset, shows four unity reflection peaks appearing at the wavelengths of 3.75 µm, 4.08 µm 4.27 µm and 4.87 µm. Each of these peaks corresponds to a guided mode resonance, implying that the broadband high-reflectivity characteristic of the optimized 2-D SWG mirror originates from the simultaneous presence of four guided mode resonances. In comparison with the single-layer 2-D SWG mirror, the DBR requires at least three Si and SiO2 layer-pairs to achieve higher than 0.99 reflectivity, indicating that the proposed 2-D SWG is a much more compact mirror than a DBR.

 figure: Fig. 2.

Fig. 2. Simulated reflectivity spectra of the optimized 2-D SWG mirror and the bottom 3-pair Si/SiO2 DBR.

Download Full Size | PDF

3.2 Parameter tolerance study

Figure 3(a) maps the simulated 2-D SWG mirror reflectivity as a function of grating thickness and wavelength. It shows that, as the thickness increases (decreases), reflection band moves towards longer (shorter) wavelengths. Although the reflection bandwidth remains unchanged when the grating thickness deviates from the design value of 1.23 µm, the reflectivity magnitude in the central spectrum region gradually decreases, dividing the optimized high-reflectivity broadband into two separated narrower bands. This is believed to result from separation of the aforementioned four guided mode resonances. As can be seen in Fig. 3(a), the maximum allowed thickness error for maintaining the optimized higher than 0.99 reflectivity over a 1.28 µm wide bandwidth is 1.23 µm ± 15 nm.

 figure: Fig. 3.

Fig. 3. The plots of the simulated 2-D SWG mirror reflectivity spectrum as a function of (a) grating thickness, (b) pitch, (c) air-hole fill-factor, and (d) air-hole sidewall angle. The solid lines marked in the plots correspond to the optimized reflectivity spectrum of 2-D SWG mirror as shown in Fig. 2, and the dashed lines indicate the parameter tolerance ranges required for realizing higher than 0.99 reflectivity over a 1.28 µm wide bandwidth. Note that, in these plots, the spectral regions with optical reflectivity of less than 0.9 are not explicitly shown but presented as white blanks.

Download Full Size | PDF

Figure 3(b) maps the simulated 2-D SWG mirror reflectivity as a function of grating pitch and wavelength. Like Fig. 3(a), reflection band exhibits a spectral redshift (blueshift) with the increasing (decreasing) pitch. When the grating pitch deviates from the design value of 2.835 µm, the reflectivity magnitude decreases in the middle portion of the spectrum and, as a result, the optimized high-reflectivity broadband splits into two narrower bands. To sustain the optimized higher than 0.99 reflectivity across a 1.28 µm wide bandwidth, the acceptable range of error in grating pitch falls between -15 nm and +50 nm.

Figure 3(c) maps the simulated 2-D SWG mirror reflectivity as a function of grating air-hole fill-factor and wavelength. Contrary to the previous results for thickness and pitch, Fig. 3(c) shows that reflection band shifts to shorter (longer) wavelengths as the air-hole fill-factor increases (decreases). It is also evident in Fig. 3(c) that the designed 2-D SWG mirror is highly sensitive to variation of the air-hole fill-factor. A slight deviation in air-hole fill-factor from the design value can significantly degrade mirror reflectivity. For example, when the air-hole diameter-to-pitch ratio changes from 0.96 to 0.94 (or 0.98), which is equivalent to an air-hole diameter shrinkage (or enlargement) of just 56 nm, the central reflectivity magnitude drops by more than 0.1 from greater than 0.99 to less than 0.9. To obtain the optimized 1.28 µm wide bandwidth with greater than 0.99 reflectivity, the fabrication error of grating air-hole diameter-to-pitch ratio should not exceed ± 0.005. In other words, the fabrication error of air-hole diameter needs to be limited within ± 14 nm.

Also critical to the optical performance of 2-D SWG mirror, but completely ignored in previous research, is the grating air-hole sidewall angle. In this paper, the effect of grating air-hole sidewall angle on 2-D SWG mirror reflectivity was simulated, and the results are presented in Fig. 3(d). Here, the air-hole sidewall angle refers to the inner angle of silicon pillar ($\theta $), as illustrated in Fig. 1. As can be seen in Fig. 3(d), the reflection spectrum of 2-D SWG mirror shifts towards shorter (longer) wavelengths with the increasing (decreasing) air-hole sidewall angle. The optimized 1.28 µm wide bandwidth with higher than 0.99 reflectivity can only be achieved for a highly vertical air-hole sidewall angle of 89.5°-90.5°. When the air-hole sidewall angle falls outside this range, like that observed in Fig. 3(a-c), the central reflectivity decreases significantly and the optimized high-reflectivity broadband splits into two narrower bands. It can also be seen in Fig. 3(d) that air-hole sidewall angles larger than 90° can exert a more adverse impact on 2-D SWG mirror reflectivity than sidewall angles smaller than 90°. For example, the central reflectivity only decreases slightly by about 0.03 from higher than 0.99 to 0.97 when the sidewall angle changes from 90° to 88°, whereas the same amount of change in sidewall angle from 90° to 92° can cause reflectivity to drop markedly below 0.9. Air-hole sidewall angles larger than 90° need further special attention since they can create a structural undercut which can eventually coalesce adjacent air-holes and destroy grating structure.

It should be noted that the above determined parameter tolerance ranges are targeted for achieving a wideband mirror reflectivity of higher than 0.99. Relatively high reflectivity can still be obtained even when the grating parameters are slightly outside the specified tolerance ranges. It is also worth noting that the study presented above examines only a single parameter at a time while keeping all the other parameters fixed at their design values. However, because all the grating parameters are subjected to processing variations during fabrication, their impacts on 2-D SWG mirror reflectivity need to be considered concurrently in practice.

4. Device fabrication and fabrication process optimization

4.1 Device fabrication procedure

Fabrication of the cryogenic MWIR hyperspectral Fabry-Perot filter commenced with the growth of a 3-pair Si/SiO2 DBR on a 1-mm thick silicon substrate using low pressure chemical vapor deposition (LPCVD). A 1.89-µm thick SiO2 sacrificial layer was deposited onto the bottom DBR using inductively coupled plasma chemical vapor deposition (ICPCVD), followed by the deposition of a LPCVD polysilicon top mirror layer. The polysilicon was thermally annealed at 900°C for 30 mins in a nitrogen environment, resulting in a desirable tensile stress of approximately 100 MPa. Then, electron beam resist was spun onto the substrate, and grating air-hole patterns were defined in the resist layer using an electron beam writer. The grating patterns in the resist layer were subsequently transferred into the polysilicon top mirror layer by inductively coupled plasma reactive ion etching (ICP-RIE) using SF6 and CHF3 gas mixtures. Afterwards, the remaining e-beam resist was removed, and an antireflection coating was deposited onto the backside of the substrate. Finally, the SiO2 sacrificial layer was etched in vapor hydrofluoric (HF) acid to release the top suspended 2-D SWG mirror and, thus, a Fabry-Perot filter was successfully fabricated. In addition, for optical characterization purposes, separate 2-D SWG mirror and DBR samples were also fabricated. It is worth noting that in order to allow 2-D SWG mirror reflectivity to be characterized without influence of the substrate, the supporting silicon substrate was removed using a deep reactive-ion etching (DRIE) process.

As previously noted, fabrication precision is critical for achieving optimal mirror performance. Use of a LPCVD system with well-characterized material growth rate allows for high-precision thin film coating with a thickness deposition accuracy better than ± 3% over a 4-inch wafer area. Optical profilometry measurements showed that the Si and SiO2 layers in the bottom DBR had a thickness of 316 nm ± 5 nm and 790 nm ± 10 nm over a 1 cm × 1 cm substrate area, which agree closely with the design values of 307 nm and 766 nm, respectively. The thickness of the top polysilicon grating layer was measured to be 1.20 ± 0.01 µm, which is slightly out of the design tolerance range (1.23 ± 0.015 µm). The e-beam writer working in high resolution mode typically has an electron-beam position-stability of better than 10 nm, which enables precise control of grating periodicity. SEM measurements showed that the fabricated grating pitch was 2.839 ± 0.004 µm over a 1 cm × 1 cm substrate area, which not only meets the design tolerance requirement (2.835 -0.015/+0.050 µm) but also shows a very close match to the design target value of 2.835 µm. Fabrication precisions of grating air-hole sidewall angle and air-hole fill-factor were found to be strongly dependent on etching and EBL process conditions and, thus, process optimizations were carried out for these two parameters.

4.2 Fabrication process optimization

It is well known that silicon etching based on pure SF6 plasma is isotropic in nature and yields undesirable rounded etch profiles. In order to realize the required vertical grating air-hole sidewalls, a highly directional silicon etch recipe was developed based on CHF3/SF6 gas chemistry. The role of CHF3 is to deposit fluorocarbon polymer onto grating surfaces. The polymer on the bottom surfaces of grating air-holes can be removed by direct ion bombardment, allowing for continual vertical etching of silicon by SF6 plasma radicles, whereas the polymer on the air-hole sidewalls, which is subjected to less direct ion bombardment, accumulates and forms a protective coating to prevent lateral etching of silicon, thus resulting in a highly vertical sidewall profile. In this paper, precise control of grating air-hole sidewall angle was realized by adjusting CHF3 gas content. Various test grating structures were fabricated for CHF3 gas flow rates ranging from 18-26 sccm, with SF6 gas flow rate and RF power being fixed at 10 sccm and 95 W, respectively, and a focused ion beam was used to cleave the gratings through the center of air-holes, combined with a scanning electron microscope to inspect air-hole sidewall angles. As can be seen in Fig. 4, at a CHF3 flow rate of 26 sccm, the resultant air-hole sidewall angle was about 81°. Formation of such a smaller-than-90° sidewall angle is because at a high CHF3 flow condition the polymer deposition on the air-hole sidewalls dominates over the ion bombardment induced polymer removal and, as the etching process proceeds, it results in a continual increase in the polymer masked area and thus V-groove shaped sidewalls. The sidewall angle was considerably increased with decreasing CHF3 flow rate due to reduced polymer deposition on the air-hole sidewalls, showing a remarkable improvement in sidewall verticality. When the CHF3 flow rate was decreased to 18 sccm, a sidewall angle of 91° was obtained. As implied by the trend curve of the measured data points, there existed a very narrow process window of CHF3 gas flow rate (19-20.2 sccm) that allowed for the fabricated air-hole sidewall angle to meet the design tolerance requirement (90° ± 0.5°). An ideally vertical sidewall angle of 90° was achieved at a CHF3 flow rate of 20 sccm, where polymer deposition and ion bombardment induced polymer removal reached a balance.

 figure: Fig. 4.

Fig. 4. Experimental results for the fabricated grating air-hole fill-factor as a function of the e-beam scanned air-hole fill-factor (red squares), and the dependence of grating air-hole sidewall angle on CHF3 gas flow rate (blue circles). The solid lines are the trend lines for the scatter plots, and the dashed lines indicate the parameter tolerance ranges required for realizing the optimized 2-D SWG mirror reflectivity.

Download Full Size | PDF

Early attempts of fabrication of 2-D SWG mirrors always resulted in grating structures with significantly larger air-hole fill-factors. Because lateral etching of materials had been eliminated by using the optimized directional etch recipe, the electron scattering effect within the resist during e-beam lithography was identified as the sole cause of the observed larger grating fill-factor. The scattered beam electrons can expose a wider resist area than intended and, as a result, produce larger air-hole patterns in the positive-tone resist and hence introduce a significant error in grating air-hole fill-factor. In this study, the dimension of the air-hole patterns projected onto the resist layer by electron beam was intentionally reduced to compensate for the electron scattering induced air-hole expansion, and the experimental results are presented in Fig. 4. At the applied exposure dose, the maximum allowed diameter-to-pitch ratio of the e-beam scanned air-hole patterns was found to be about 0.93, beyond which the fabricated grating air-hole diameter-to-pitch ratio was close to or even greater than 1.0 that had led to hole coalescence. As implied by the trend curve of the measured data points, the diameter-to-pitch ratio of e-beam scanned air-hole patterns must fall within the range of 0.905-0.913 in order for the fabricated grating air-hole fill-factor to satisfy the design tolerance requirement (0.96 ± 0.005). For an e-beam scanned air-hole diameter-to-pitch ratio of 0.905, a uniform grating air-hole fill-factor of 0.956 ± 0.002 was demonstrated over a 1 cm × 1 cm substrate area, which agrees closely with the design target. The difference of about 0.05 between the fabricated and e-beam scanned air-hole diameter-to-pitch ratios corresponds to an air-hole diameter enlargement of 140 nm, indicating that the electron scattering effect within the e-beam resist is a very significant source of error for grating air-hole fill-factor definition.

Figure 5 presents the optical microscopy and scanning electron microscopy images (both top-view and cross-sectional view) of a fabricated 2-D SWG mirror with the optimized grating structural parameters. As can be seen in Fig. 5(a), the grating air-hole diameters in the two orthogonal directions are nearly identical, confirming the excellent 90° rotational symmetry of the fabricated 2-D SWG mirrors.

 figure: Fig. 5.

Fig. 5. (a) Optical and SEM top-view images and (b) SEM cross-sectional view image of a fabricated 2-D SWG mirror with the optimized grating parameters. Grating cross-section in (b) was prepared by focused ion beam using Pt as protective layer and the cleaved cross-section passes through the center of air-holes.

Download Full Size | PDF

5. Device characterization

An optical cryostat was used for the characterization of the cryogenic optical and mechanical performance of the fabricated 2-D SWG mirrors and Fabry-Perot filters. The optical cryostat consists of a Dewar containing the cryogen and a chamber housing the sample. The chamber is fitted with two antireflection coated high-transmission optical windows, which enable optical profilometry and transmission measurements to be performed on the sample placed inside the cryostat chamber. A thermocouple is connected to the sample using silver epoxy for accurate temperature monitoring. In our experiments, the sample was cooled in an evacuated environment by liquid nitrogen down to approximately 80 K. Moreover, during optical data acquisition, the cryostat was vacuum sealed, and evacuation pump was switched-off to prevent vibration induced artifacts.

Surface line profiles of the suspended 2-D SWG mirror were measured along the two paths x and y as marked in Fig. 1(b) at both temperatures of 300 K and 80 K using an optical profilometer equipped with a glass thickness-compensated objective. To ensure accurate measurements for subwavelength grating structures, a high-magnification lens system was used for high-resolution imaging over a small field area of 50 µm × 50 µm. This image field was step-scanned across the entire 1-mm dimension mirror area, and the obtained results stitched together to produce the complete mirror surface line profile. Due to the similarity in results between the two scan paths, for simplicity of illustration, only the surface line profiles along the path x are presented in Fig. 6. The measured surface profiles showed a small-scale roughness of about ± 5 nm. This is believed to result from the window of the optical cryostat rather than from the fabricated 2-D SWG mirror. In addition, the suspended mirror membrane was observed to be lifted by 5 nm with respect to its anchor position, simply due to the stress imbalance between top polysilicon layer and underlying SiO2 sacrificial layer at the anchor point. At room temperature, the suspended 2-D SWG mirror demonstrated nanometer-scale surface flatness over the entire 1-mm dimension area. In comparison, after being cooled to 80 K, the mirror exhibited a center-to-edge bowing of about 15 nm. Because of the large mirror dimension of 1 mm, this bowing amplitude is extremely small and will not have a significant impact on mirror reflectivity, as can be seen from the optical results in Fig. 7. The observed extraordinary surface flatness of the cryogenic 2-D SWG mirror is attributed to the controlled tensile stress inherent in the polysilicon mirror membrane, showing a significant advantage over conventional multilayer DBRs that usually require complicated stress balancing techniques to flatten the deformed mirrors. Moreover, at both room temperature and cryogenic temperature, the suspended 2-D SWG mirror exhibited no structural tilt, which is also highly advantageous for realizing high-spectral-resolution Fabry-Perot filters.

 figure: Fig. 6.

Fig. 6. The surface line profiles of the suspended 2-D SWG mirror measured along the path x as marked in Fig. 1(b) at room temperature and the liquid-nitrogen temperature of 80 K.

Download Full Size | PDF

 figure: Fig. 7.

Fig. 7. The reflectivity spectra of the top suspended 2-D SWG mirror and bottom 3-pair Si/SiO2 DBR measured at room temperature and the liquid-nitrogen temperature of 80 K.

Download Full Size | PDF

Because the extinction coefficient of LPCVD polysilicon was measured to be in the order of 10−4, it is reasonable to assume that the fabricated 2-D SWG mirrors had negligible optical absorption. Based on this assumption, optical transmission of the 2-D SWG mirrors was firstly measured at both temperatures of 300 K and 80 K using a high-spectral-resolution spectrometer, and mirror reflectivity was determined by subtracting the measured transmission from unity. The transmission measurements were accomplished using a normally incident highly collimated beam of light with an extremely small divergence angle of 1°. To prevent the incident light from falling outside the mirror area and thus ensure accurate optical measurements, the width of the light beam was limited to half the lateral dimension of the 2-D SWG mirrors using an iris diaphragm, and the beam spot was precisely aligned to the mirror center. A linear polarizer was positioned in the optical path to control the polarization direction of the incident light. Owing to the excellent 90° rotational symmetry of the fabricated grating structures (see Fig. 5(a)), the measured reflectivity of the 2-D SWG mirrors showed no polarization dependence, and for simplicity of illustration, Fig. 7 presents the results only for the x-polarized light as indicated in Fig. 1(b). In comparison with the mirror’s design performance, namely higher than 0.99 reflectivity over a wavelength range of 3.72-5 µm, the measured room-temperature reflection spectrum exhibited a little bit lower reflectivity of between 0.96 and 0.99 (an average of 0.98) over the design wavelength range of 3.72-5.0 µm. This slight drop in reflectivity is because the deposition thickness (1.20 ± 0.01 µm) of polysilicon grating layer falls slightly outside the design tolerance (1.23 ± 0.015 µm). When the 2-D SWG mirrors were cooled down to 80 K, the reflection spectrum showed no significant changes. The reflectivity was measured to be in the range of 0.95-0.99 (an average of 0.97), which is decreased only by about 0.01 as compared with the room-temperature reflectivity due to the small mirror bowing of 15 nm (see Fig. 6). Overall, the fabricated cryogenic 2-D SWG mirrors have demonstrated a very high level of reflectivity that is in good agreement with the mirror design performance. In addition, optical reflectivity of the bottom 3-pair Si/SiO2 DBR was also measured, and at both room temperature and 80 K the reflectivity was 0.98-0.99 over the wavelength range of 3.72-5 µm, which agrees closely with the design performance and also shows a good match to the reflectivity of the top 2-D SWG mirror. The slight decrease in the measured DBR reflectivity compared to design is due to the thickness errors of DBR layers.

Lastly, optical transmission of the fabricated MWIR hyperspectral fixed-cavity Fabry-Perot filter, consisting of a top suspended 2-D SWG mirror and a bottom 3-pair Si/SiO2 DBR, was measured at both temperatures of 300 K and 80 K, and the results are presented in Fig. 8. While the filter was designed to have a near-unity peak transmission with an extremely narrow FWHM bandwidth of 4 nm at the central wavelength of 4.677 µm, the fabricated filter at ambient room temperature demonstrated a lower peak transmission of 0.85 with a larger FWHM of 8 nm at a little bit longer wavelength of 4.68 µm. The observed slight redshift in transmission peak wavelength is due to a 5 nm increase in optical cavity length caused by the uplift of the top suspended 2-D SWG mirror after release (see Fig. 6), and the spectral broadening of transmission peak is attributed to the thickness error induced lower mirror reflectivity (see Fig. 7). At 80 K, because the top suspended 2-D SWG mirror suffered from a small structural bowing of 15 nm (see Fig. 6), the measured filter transmission performance showed a minor degradation as compared to the room-temperature case. The transmission peak was shifted to a longer wavelength of 4.685 µm, with transmission maximum being slightly decreased to 0.8 and FWHM linewidth being slightly broadened to 10 nm. The demonstrated spectral resolution of the cryogenically cooled 2-D SWG mirror based Fabry-Perot filter is at least a factor of five better than that of any previously reported room-temperature DBR-based MWIR filters (see Table 1), and far exceeds the optical requirement of δλ/Δλ < 1% for cryogenic thermal infrared hyperspectral imaging applications. As previously noted, mirror layer thickness errors and the resultant reduced reflectivity of the top 2-D SWG mirror and bottom DBR caused the spectral broadening of the measured filter transmission. Hence, by more precise control of mirror layer thicknesses, it is possible to further improve filter spectral resolution towards the theoretical value of 4 nm and enable more demanding thermal infrared hyperspectral imaging applications.

 figure: Fig. 8.

Fig. 8. The transmission spectra of the fabricated 2-D SWG mirror based MWIR hyperspectral Fabry-Perot filter measured at room temperature and the liquid-nitrogen temperature of 80 K.

Download Full Size | PDF

6. Summary and conclusions

MWIR high-spectral-resolution Fabry-Perot filters are a key component for thermal infrared hyperspectral imaging microspectrometers. However, the requirement of cryogenic cooling for MWIR photodetectors poses a significant challenge for the successful development and application of MWIR hyperspectral Fabry-Perot filters, because the thermal expansion mismatch in the multilayer coatings of top suspended DBR mirror can cause significant mirror bowing and thus result in extremely low filter spectral resolution. This paper has presented the development of a novel cryogenic MWIR hyperspectral Fabry-Perot filter based on a suspended tensile-strained single-layer 2-D SWG mirror. Optical design optimization of the 2-D SWG mirror has been conducted, and the grating parameter tolerances required for sustaining optimal mirror performance have been determined. In particular, this paper has first investigated the impact of grating air-hole sidewall angle on a 2-D SWG mirror, showing that grating-sidewall verticality is of great importance for realizing wideband high-reflectivity characteristics of 2-D SWG mirrors. For a grating thickness of 1.23 ± 0.015 µm, pitch of 2.835 ± 0.015 µm, air-hole diameter-to-pitch ratio of 0.96 ± 0.005 and air-hole sidewall angle of 90° ± 0.5°, the 2-D SWG mirror is predicted to have a higher than 0.99 reflectivity over a wavelength range of 3.72-5 µm. This 1.28-µm wide spectral range corresponds to an unprecedentedly high fractional bandwidth of 30%, which is double the previously reported maximum fractional bandwidth of 15%. To ensure high-precision fabrication of 2-D SWG mirrors, for the first time, a silicon dry etch process based on a CHF3 passivation gas has been developed to control grating air-hole sidewall angle, and a geometry compensation approach has been established to correct for the air-hole fill-factor patterning error caused by the electron scattering effect during EBL exposure. The fabricated 2-D SWG mirror has been mechanically and optically characterized at 80 K. Owing to the tensile-strained single-layer membrane design, the suspended 2-D SWG mirror has achieved a high degree of surface flatness, showing an extremely small center-to-edge bowing amplitude of 15 nm over a 1-mm dimension large mirror area. Moreover, the mirror has achieved a high average reflectivity of 0.97 over the design wavelength range of 3.72-5 µm, which is in very good agreement with the design performance. In the end, a proof-of-concept cryogenic MWIR hyperspectral fixed-cavity Fabry-Perot filter based on a suspended tensile-strained single-layer 2-D SWG mirror has been demonstrated, realizing an extremely high spectral resolution of 10 nm at 80 K which far exceeds the optical requirement of δλ/Δλ < 1% for MWIR hyperspectral imaging applications. Overall, the 2-D SWG mirror based Fabry-Perot filter being developed in this paper has proven to be a more capable filter solution than DBR-based filter to integrate with cryogenic MWIR photodetectors to build high-performance thermal infrared hyperspectral imaging microspectrometers. This work is a good starting point for developing MEMS tunable hyperspectral filters in the near future.

Funding

National Natural Science Foundation of China (62004038); Opening Project of Science and Technology on Reliability Physics and Application Technology of Electronic Component Laboratory (19D09); Fundamental Research Funds for the Central Universities (2242021R10013); Social Science Foundation of Jiangsu Province (21YYC002); Australian Research Council (CE200100010).

Acknowledgments

The authors thank Professor Qing’an Huang at the Key Laboratory of MEMS of the Ministry of Education, School of Electronic Science and Engineering, Southeast University for help identifying collaborators for this work.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. F. Borondics, M. Jossent, C. Sandt, L. Lavoute, D. Gaponov, A. Hideur, P. Dumas, and S. Fevrier, “Supercontinuum-based Fourier transform infrared spectromicroscopy,” Optica 5(4), 378–381 (2018). [CrossRef]  

2. C. Howle, A. Spear, E. Gazi, and N. Crane, “Monitoring combat wound healing by IR hyperspectral imaging,” Proc. SPIE 9703, 970311–97031E-11 (2016). [CrossRef]  

3. A. Daveri, S. Paziani, M. Marmion, H. Harju, A. Vidman, M. Azzarelli, and M. Vagnini, “New perspectives in the non-invasive, in situ identification of painting materials: the advanced MWIR hyperspectral imaging,” TrAC, Trends Anal. Chem. 98, 143–148 (2018). [CrossRef]  

4. C. E. Fakir, M. Hjeij, R. L. Page, L. Poffo, B. Billiot, P. Besnard, and J. M. Goujon, “Active hyperspectral mid-infrared imaging based on a widely tunable quantum cascade laser for early detection of plant water stress,” Opt. Eng. 60(02), 023106-1 (2021). [CrossRef]  

5. D. M. Tratt, S. J. Young, J. A. Hackwell, D. J. Rudy, D. W. Warren, A. G. Vore, and P. D. Johnson, “MAHI: an airborne mid-infrared imaging spectrometer for industrial emissions monitoring,” IEEE Trans. Geosci. Remote Sensing 55(8), 4558–4566 (2017). [CrossRef]  

6. A. P. Fossi, Y. Ferrec, N. Roux, O. D’almeida, N. Guerineau, and H. Sauer, “Miniature and cooled hyperspectral camera for outdoor surveillance applications in the mid-infrared,” Opt. Lett. 41(9), 1901–1904 (2016). [CrossRef]  

7. C. Coudrain, S. Bernhardt, M. Caes, R. Domel, Y. Ferrec, R. Gouyon, D. Henry, M. Jacquart, A. Kattnig, P. Perrault, L. Poutier, L. Rousset-Rouviere, M. Tauvy, S. Thetas, and J. Primot, “SIELETERS, an airborne infrared dual-band spectro-imaging system for measurement of scene spectral signatures,” Opt. Express 23(12), 16164–16176 (2015). [CrossRef]  

8. P. Vujkovic-Cvijin, J. Lee, B. Gregor, N. Goldstein, R. Panfili, and M. Fox, “Infrared transform spectral imager,” Proc. SPIE 8520, 85200K–85200K-10 (2012). [CrossRef]  

9. M. Ebermann, N. Neumann, K. Hiller, E. Gittler, M. Meinig, and S. Kurth, “Widely tunable Fabry-Perot filter based MWIR and LWIR microspectrometers,” Proc. SPIE 8374, 837401–83740X-9 (2012). [CrossRef]  

10. N. Neumann, M. Ebermann, S. Kurth, and K. Hiller, “Tunable infrared detector with integrated micromachined Fabry-Perot filter,” J. Micro/Nanolith. MEMS MOEMS 7(2), 021004 (2008). [CrossRef]  

11. J. S. Milne, J. M. Dell, A. J. Keating, and L. Faraone, “Widely tunable MEMS-based Fabry–Perot filter,” J. Microelectromech. Syst. 18(4), 905–913 (2009). [CrossRef]  

12. A. Rissanen, R. Mannila, M. Tuohiniemi, A. Akujarvi, and J. Antila, “Tunable MOEMS Fabry-Perot interferometer for miniaturized spectral sensing in near-infrared,” Proc. SPIE 8977, 89770X-1–89770X-8 (2014). [CrossRef]  

13. C. Huber, B. Stein, and H. Kalt, “Large-aperture Fabry-Perot filters based on silicon/silicon carbonitride distributed Bragg reflectors for the near-infrared,” in 2017 IEEE Sensors (2017), pp. 1-3.

14. M. Tuohiniemi, M. Blomberg, A. Akujarvi, J. Antila, and H. Saari, “Optical transmission performance of a surface-micromachined Fabry-Perot interferometer for thermal infrared,” J. Micromech. Microeng. 22(11), 115004 (2012). [CrossRef]  

15. J. R. Silva, H. Kala, D. K. Tripathi, K. K. M. B. D. Silva, M. Martyniuk, A. J. Keating, G. Putrino, and L. Faraone, “Large area silicon-air-silicon DBRs for infrared filter applications,” J. Lightwave Technol. 37(3), 769–779 (2019). [CrossRef]  

16. C. P. Ho, P. Pitchappa, B. W. Soon, and C. Lee, “Suspended 2-D photonic crystal aluminum nitride membrane reflector,” Opt. Express 23(8), 10598–10603 (2015). [CrossRef]  

17. S. Boutami, B. B. Bakir, H. Hattori, X. Letartre, J.-L. Leclercq, P. Rojo-Romeo, M. Garrigues, C. Seassal, and P. Viktorovitch, “Broadband and compact 2-D photonic crystal reflectors with controllable polarization dependence,” IEEE Photonics Technol. Lett. 18(7), 835–837 (2006). [CrossRef]  

18. A. Ricciardi, S. Campopiano, A. Cusano, T. F. Krauss, and L. O’Faolain, “Broadband mirrors in the near-infrared based on subwavelength gratings in SOI,” IEEE Photonics J. 2(5), 696–702 (2010). [CrossRef]  

19. I. W. Jung, S. Kim, and O. Solgaard, “High-reflectivity broadband photonic crystal mirror MEMS scanner with low dependence on incident angle and polarization,” J. Microelectromech. Syst. 18(4), 924–932 (2009). [CrossRef]  

20. V. Lousse, W. Suh, O. Kilic, S. Kim, O. Solgaard, and S. Fan, “Angular and polarization properties of a photonic crystal slab mirror,” Opt. Express 12(8), 1575–1582 (2004). [CrossRef]  

21. M. Kerber, B. Dick, M. Fralick, H. Jazo, and R. Waters, “Design of highly reflective subwavelength diffraction gratings for use in a tunable spectrometer,” in 2009 IEEE Sensors (2009), pp. 1984–1987.

22. Y. Shuai, D. Zhao, G. Medhi, R. Peale, Z. Ma, W. Buchwald, R. Soref, and W. Zhou, “Fano-resonance photonic crystal membrane reflectors at mid- and far-infrared,” IEEE Photonics J. 5(1), 4700206 (2013). [CrossRef]  

23. K. Ikeda, K. Takeuchi, K. Takayose, I. S. Chung, J. Mørk, and H. Kawaguchi, “Polarization-independent high-index contrast grating and its fabrication tolerances,” Appl. Opt. 52(5), 1049–1053 (2013). [CrossRef]  

24. M. G. Moharam, E. B. Grann, and D. A. Pommet, “Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A 12(5), 1068–1076 (1995). [CrossRef]  

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1.
Fig. 1. Schematics of a cryogenic MWIR hyperspectral fixed-cavity Fabry-Perot filter based on a suspended single-layer 2-D SWG mirror, (a) cross sectional view and (b) top view.
Fig. 2.
Fig. 2. Simulated reflectivity spectra of the optimized 2-D SWG mirror and the bottom 3-pair Si/SiO2 DBR.
Fig. 3.
Fig. 3. The plots of the simulated 2-D SWG mirror reflectivity spectrum as a function of (a) grating thickness, (b) pitch, (c) air-hole fill-factor, and (d) air-hole sidewall angle. The solid lines marked in the plots correspond to the optimized reflectivity spectrum of 2-D SWG mirror as shown in Fig. 2, and the dashed lines indicate the parameter tolerance ranges required for realizing higher than 0.99 reflectivity over a 1.28 µm wide bandwidth. Note that, in these plots, the spectral regions with optical reflectivity of less than 0.9 are not explicitly shown but presented as white blanks.
Fig. 4.
Fig. 4. Experimental results for the fabricated grating air-hole fill-factor as a function of the e-beam scanned air-hole fill-factor (red squares), and the dependence of grating air-hole sidewall angle on CHF3 gas flow rate (blue circles). The solid lines are the trend lines for the scatter plots, and the dashed lines indicate the parameter tolerance ranges required for realizing the optimized 2-D SWG mirror reflectivity.
Fig. 5.
Fig. 5. (a) Optical and SEM top-view images and (b) SEM cross-sectional view image of a fabricated 2-D SWG mirror with the optimized grating parameters. Grating cross-section in (b) was prepared by focused ion beam using Pt as protective layer and the cleaved cross-section passes through the center of air-holes.
Fig. 6.
Fig. 6. The surface line profiles of the suspended 2-D SWG mirror measured along the path x as marked in Fig. 1(b) at room temperature and the liquid-nitrogen temperature of 80 K.
Fig. 7.
Fig. 7. The reflectivity spectra of the top suspended 2-D SWG mirror and bottom 3-pair Si/SiO2 DBR measured at room temperature and the liquid-nitrogen temperature of 80 K.
Fig. 8.
Fig. 8. The transmission spectra of the fabricated 2-D SWG mirror based MWIR hyperspectral Fabry-Perot filter measured at room temperature and the liquid-nitrogen temperature of 80 K.

Tables (2)

Tables Icon

Table 1. Summary of reported MEMS-based tunable Fabry-Perot infrared filters based on DBR mirrors

Tables Icon

Table 2. Summary of reported dielectric 2-D subwavelength grating mirrors

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.